From 76586df65dab7b5482bc4f58e10479a23f4a0d27 Mon Sep 17 00:00:00 2001 From: Matthias Volk Date: Sun, 11 Oct 2020 17:44:20 +0200 Subject: [PATCH] Update url for binder launch --- doc/source/conf.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/doc/source/conf.py b/doc/source/conf.py index d671483..341cd09 100644 --- a/doc/source/conf.py +++ b/doc/source/conf.py @@ -249,6 +249,6 @@ nbsphinx_prolog = """
Interactive version: - Binder badge. + Binder badge.
"""