From c17beaaaa9467a18023864590e05c1dba72c317c Mon Sep 17 00:00:00 2001 From: sp Date: Tue, 24 Sep 2024 15:34:38 +0200 Subject: [PATCH] final update for notebooks --- notebooks/FaultyActions.ipynb | 590 +++++++++++++++++- notebooks/GSW_Playground.ipynb | 230 ++++++- notebooks/HelloLavaGap.ipynb | 119 +++- notebooks/SlipperyCliff.ipynb | 394 +++++++++++- .../Minigrid/minigrid/envs/GSW_Playground.py | 9 +- 5 files changed, 1313 insertions(+), 29 deletions(-) diff --git a/notebooks/FaultyActions.ipynb b/notebooks/FaultyActions.ipynb index c0158f7..a628739 100644 --- a/notebooks/FaultyActions.ipynb +++ b/notebooks/FaultyActions.ipynb @@ -9,13 +9,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "pygame 2.6.0 (SDL 2.28.4, Python 3.10.12)\n", + "Hello from the pygame community. https://www.pygame.org/contribute.html\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-24 13:00:24.842265: E external/local_xla/xla/stream_executor/cuda/cuda_fft.cc:485] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-24 13:00:24.857294: E external/local_xla/xla/stream_executor/cuda/cuda_dnn.cc:8454] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-24 13:00:24.861712: E external/local_xla/xla/stream_executor/cuda/cuda_blas.cc:1452] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-24 13:00:24.871856: I tensorflow/core/platform/cpu_feature_guard.cc:210] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-24 13:00:25.712381: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n", + "error: XDG_RUNTIME_DIR not set in the environment.\n" + ] + } + ], "source": [ "from sb3_contrib import MaskablePPO\n", "from sb3_contrib.common.wrappers import ActionMasker\n", @@ -43,13 +65,562 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Starting the training\n" + ] + }, + { + "data": { + "image/jpeg": "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", + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.053414344787597656 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0018138885498046875 seconds.\n", + "LOG: Starting to translate shield...\n", + "Elapsed time is 0.08892679214477539 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.04616570472717285 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0017552375793457031 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1211\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1222\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1233\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1244\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1255\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1266\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1277\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1288\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1299\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1344\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1355\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1366\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1377\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1388\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1399\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1410\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1421\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1432\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1471\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1482\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1493\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1504\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1515\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1526\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1537\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1604\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1615\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1626\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1637\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1648\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1659\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1670\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1681\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4689\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4711\n", + "Elapsed time is 0.0928337574005127 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.04615354537963867 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0014677047729492188 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1211\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1222\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1233\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1244\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1255\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1266\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1277\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1288\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1299\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1344\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1355\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1366\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1377\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1388\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1399\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1410\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1421\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1432\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1471\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1482\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1493\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1504\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1515\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1526\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1537\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1604\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1615\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1626\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1637\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1648\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1659\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1670\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1681\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4689\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4711\n", + "Elapsed time is 0.08211565017700195 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.045874834060668945 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0018587112426757812 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1210\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1211\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1221\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1222\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1232\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1233\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1243\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1244\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1254\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1255\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1265\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1266\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1276\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1277\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1287\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1288\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1298\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1299\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1332\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1343\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1344\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1354\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1355\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1365\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1366\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1376\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1377\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1387\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1388\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1398\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1399\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1409\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1410\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1420\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1421\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1432\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1461\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1471\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1472\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1482\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1483\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1493\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1494\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1504\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1505\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1515\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1516\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1526\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1527\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1537\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1538\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1604\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1615\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1616\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1626\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1627\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1637\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1638\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1648\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1649\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1659\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1660\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1670\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1671\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1681\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1682\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1693\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4689\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4695\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4711\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4718\n", + "Elapsed time is 0.0849301815032959 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.04583621025085449 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0014719963073730469 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1205\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1206\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1207\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1208\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1209\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1210\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1211\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1216\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1217\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1218\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1219\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1220\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1221\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1222\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1227\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1228\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1229\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1230\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1231\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1232\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1233\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1238\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1239\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1240\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1241\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1242\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1243\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1244\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1249\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1250\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1251\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1252\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1253\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1254\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1255\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1261\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1262\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1263\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1264\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1265\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1266\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1273\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1274\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1275\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1276\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1277\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1285\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1286\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1287\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1288\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1297\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1298\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1299\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1316\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1317\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1318\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1319\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1320\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1327\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1328\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1329\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1330\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1331\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1332\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1338\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1339\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1340\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1341\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1342\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1343\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1344\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1349\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1350\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1351\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1352\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1353\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1354\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1355\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1360\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1361\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1362\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1363\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1364\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1365\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1366\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1372\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1373\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1374\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1375\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1376\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1377\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1384\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1385\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1386\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1387\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1388\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1396\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1397\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1398\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1399\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1408\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1409\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1410\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1420\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1421\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1432\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1461\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1462\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1463\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1464\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1471\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1472\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1473\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1474\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1475\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1476\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1482\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1483\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1484\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1485\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1486\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1487\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1488\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1493\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1494\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1495\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1496\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1497\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1498\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1499\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1504\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1505\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1506\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1507\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1508\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1509\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1510\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1515\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1516\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1517\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1518\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1519\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1520\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1521\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1526\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1527\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1528\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1529\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1530\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1531\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1532\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1537\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1538\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1539\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1540\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1541\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1542\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1543\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1604\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1615\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1616\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1626\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1627\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1628\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1637\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1638\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1639\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1640\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1648\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1649\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1650\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1651\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1652\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1659\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1660\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1661\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1662\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1663\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1664\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1670\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1671\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1672\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1673\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1674\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1675\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1676\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1681\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1682\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1683\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1684\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1685\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1686\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1687\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1693\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1694\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1695\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1696\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1697\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1698\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1705\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1706\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1707\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1708\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1709\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1717\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1718\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1719\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1720\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1729\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1730\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 1731\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4689\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4695\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4711\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4718\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 4725\n", + "Elapsed time is 0.08353805541992188 seconds.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.0\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.9\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.99\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.999\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 1.0\n", + "Training with shield:\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Using cpu device\n", + "Wrapping the env with a `Monitor` wrapper\n", + "Wrapping the env in a DummyVecEnv.\n", + "Wrapping the env in a VecTransposeImage.\n" + ] + }, + { + "ename": "AssertionError", + "evalue": "", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mAssertionError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[3], line 63\u001b[0m\n\u001b[1;32m 61\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;18m__name__\u001b[39m \u001b[38;5;241m==\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m__main__\u001b[39m\u001b[38;5;124m'\u001b[39m:\n\u001b[1;32m 62\u001b[0m \u001b[38;5;28mprint\u001b[39m(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mStarting the training\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[0;32m---> 63\u001b[0m \u001b[43mmain\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n", + "Cell \u001b[0;32mIn[3], line 56\u001b[0m, in \u001b[0;36mmain\u001b[0;34m()\u001b[0m\n\u001b[1;32m 53\u001b[0m model\u001b[38;5;241m.\u001b[39mset_logger(logger)\n\u001b[1;32m 54\u001b[0m steps \u001b[38;5;241m=\u001b[39m \u001b[38;5;241m20_000\u001b[39m\n\u001b[0;32m---> 56\u001b[0m \u001b[38;5;28;01massert\u001b[39;00m(\u001b[38;5;28;01mFalse\u001b[39;00m)\n\u001b[1;32m 57\u001b[0m model\u001b[38;5;241m.\u001b[39mlearn(steps,callback\u001b[38;5;241m=\u001b[39m[InfoCallback()])\n", + "\u001b[0;31mAssertionError\u001b[0m: " + ] + } + ], "source": [ "GRID_TO_PRISM_BINARY=os.getenv(\"M2P_BINARY\")\n", "\n", @@ -78,15 +649,20 @@ " \n", " env.reset()\n", " Image.fromarray(env.render()).show()\n", - " \n", + "\n", + " shield_values = [0.0, 0.9, 0.99, 0.999, 1.0]\n", " shield_handlers = dict()\n", " if shield_needed(shielding):\n", - " for value in [0.0, 1.0]: \n", + " for value in shield_values: \n", " shield_handler = MiniGridShieldHandler(GRID_TO_PRISM_BINARY, \"grid.txt\", \"grid.prism\", formula, shield_value=value, shield_comparison=shield_comparison, nocleanup=False, prism_file=None)\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handler, create_shield_at_reset=False)\n", - " create_shield_overlay_image(image_env, shield_handler.create_shield())\n", " shield_handlers[value] = shield_handler\n", "\n", + " if shield_needed(shielding):\n", + " for value in shield_values: \n", + " create_shield_overlay_image(image_env, shield_handlers[value].create_shield())\n", + " print(f\"The shield for shield_value = {value}\")\n", + "\n", "\n", " if shielding == ShieldingConfig.Training:\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handlers[value_for_training], create_shield_at_reset=False)\n", diff --git a/notebooks/GSW_Playground.ipynb b/notebooks/GSW_Playground.ipynb index 8f0a89e..59a39ff 100644 --- a/notebooks/GSW_Playground.ipynb +++ b/notebooks/GSW_Playground.ipynb @@ -9,13 +9,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "pygame 2.6.0 (SDL 2.28.4, Python 3.10.12)\n", + "Hello from the pygame community. https://www.pygame.org/contribute.html\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-24 13:31:25.321557: E external/local_xla/xla/stream_executor/cuda/cuda_fft.cc:485] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-24 13:31:25.336097: E external/local_xla/xla/stream_executor/cuda/cuda_dnn.cc:8454] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-24 13:31:25.340241: E external/local_xla/xla/stream_executor/cuda/cuda_blas.cc:1452] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-24 13:31:25.350298: I tensorflow/core/platform/cpu_feature_guard.cc:210] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-24 13:31:26.198496: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n", + "error: XDG_RUNTIME_DIR not set in the environment.\n" + ] + } + ], "source": [ "from sb3_contrib import MaskablePPO\n", "from sb3_contrib.common.wrappers import ActionMasker\n", @@ -49,9 +71,208 @@ "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Starting the training\n" + ] + }, + { + "data": { + "image/jpeg": "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", + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.058641910552978516 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0003376007080078125 seconds.\n", + "LOG: Starting to translate shield...\n", + "Write to file shielding_files_20240924T133128_pk5u63e5/shield.\n", + "Elapsed time is 0.013018369674682617 seconds.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.9\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.05918574333190918 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0002396106719970703 seconds.\n", + "LOG: Starting to translate shield...\n", + "Write to file shielding_files_20240924T133128_3pf0niht/shield.\n", + "Elapsed time is 0.011339187622070312 seconds.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.99\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.05561947822570801 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.00025653839111328125 seconds.\n", + "LOG: Starting to translate shield...\n", + "Write to file shielding_files_20240924T133129_kc6xcewk/shield.\n", + "Elapsed time is 0.012254714965820312 seconds.\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAGACAYAAACkx7W/AAAubElEQVR4Ae2dz44ky1WHa8zoIqSLQFg0WLq+lhde8QA0PETv8BMUD8B4Nw8wO+ABXE9gdr1CPAA0K1askLB8sXTNRVhGXAlxZTTkr6vPTHR0ZeW/yIxzMr6QarIyMuKciC9O/U5WZnbNq+Px+P5AgQAEIACB5gh8q7kZM2EIQAACEHgkQAIgECAAAQg0SoAE0OjCM20IQAACJABiAAIQgECjBEgAjS4804YABCBAAiAGIAABCDRKgATQ6MIzbQhAAAIkAGIAAhCAQKMESACNLjzThgAEIEACIAYgAAEINEqABNDowjNtCEAAAiQAYgACEIBAowRIAI0uPNOGAAQgQAIgBiAAAQg0SoAE0OjCM20IQAACJABiAAIQgECjBEgAjS4804YABCBAAiAGIAABCDRKgATQ6MIzbQhAAAIkAGIAAhCAQKMESACNLjzThgAEIEACIAYgAAEINEqABNDowjNtCEAAAiQAYgACEIBAowRIAI0uPNOGAAQgQAIgBiAAAQg0SoAE0OjCM20IQAACJABiAAIQgECjBEgAjS4804YABCBAAiAGIAABCDRKgATQ6MIzbQhAAAKvayN4e39fdQhfffX9w+3hYWAMf9Mdv+1e3x1oZ4f/rXsjm39mFQu3+/X/rzd/sJAN3SEwn8BX3/9qfucCPW9+enN4d3dXwNI8E9UTgIb91Vf1FuH28O9XyP1Vd+yvu9fPn9r8RbfVqy8RSPjVXi+Vz7qX2r/Rzoyyf/8K/uPpNINNmS6n4xH/DfM/fL9MHEW10vQlIJ3TXy4SXon8j7qXxN9aStg/714SdIm9Fb1XnY6Z+KuP+sqGbMnm2NKWf6M7lk6pdubXtqXsjrVjfm07tl+pdubXtqXsjrVjfm07tl+pdrX8lhp/CTvNJoDLi39JeP++4/wP3euL7qWzeZU0EeTCrzZqqz7qK09jE0G7/i+vR4dupZL7y/dXcvvBbO4v3//QcKU3ub98fyW3H8zm/vL9Dw1XerO1v5Wmsdhskwng8uL/sIOZnvGb8P/JE2Q7i88TgZ3xm/CbiKub+l5KBPKVl9b9f/yelZMpvX95/fHfx6UV/qXnGcFecwmgP8h1w1avXPjt8o6EXCVPBJeEX23fdC/1VUkTgfk5H/n4r9W36v9Mon99PpJa8m7I/tDxJb7Vd8j+0HH8LyOwNt9lo9u+d1MJ4PriS4B/0r3sjN+E//OuTmf5f/p0LE8E6Rm/jqm/2qaXidJEIB/ylZfW/X/kcX2dPrab+m6s3bHt8D+NwFiuY9tN8z6cfKfa20P7ZhLA+KDKhV/LLHHWEz0P3StPBF3V42UeE361UVsT+UuJQH36Suv+z1zGr1cfx+f1U+1Nbf/c28u9qfamtn/p8XnNVHtT2z/39nJvqr2p7V96fF5T2t5z63H3mkgA4xb/kvDa5R2dtev4X3avPBHkwq82aqs+X3Qv2VAZSgSt+z9TSv8dt25pj8vv59qZ2y8fxVw7c/vh/zmBUhyfW93H3u4TwPjF/1G3ohJpFRP+9PKO6t90rzwRPHR1Sgom/GpjJb9foHr5kK+8tO4/53HeH79+9L9EAH6XqFBnBHadAKYFv0T/kvDrur6e0FEysJImgkvCr7bqk98v+KKrMz9my7ZWrzZp4mnFv3F4uZ22jh/7z+330cL53Vw7c/vh/zmBuRzn9nvufd97u00A0xdfl3Jy4bXLO/opBp2h2xm9BYUSgV5WrL/aqk9+v8D6y25eWvef83i+P3U9p7Z/7u3l3lR7U9u/9Pi8Zqq9qe2fe3u5N9Xe1PYvPT6vmWpvavvn3trZ22UCWLb46ZM8D10kyJqu52vb9wddqfDbXw5bH9nIE0FX1Vta998LZvARSuuplVqjjLU7tt3UMY61O7Yd/qcS2F/73SWA+cF/SXjtmXw90aPj2peHNBHorF5n/Cb8fX0eujbXEkHr/js8I8rQ+g4dH+HiapMh+0PHrxofcXDI/tDxES6uNhmyP3T8qvERB4fsDx0f4aKpJrtKAMsWXzdnH7qXrJiI55dqtJ8nglz4h/rIh91s7t5+KK37/wBi8E3fOvfVDxqc2KDPT1/9RPODzfv89NUPGpzYoM9PX/1E84PN+/z01Q8abLjBbhLA8sXXzdxc+P+tq3vTvV49bbWvkiaCOX3kKy+t+895XN/P1zvfv957+dHcX76/3MN1C7m/fP967+VHc3/5/nIP1y3k/vL96705agR2kQDKLL4u5djZuwn/512dna1rq30lhDQRzOkjX3lp3X/OY3jf1t22wz3KtjC/ti1rfdia+bXtcI+yLcyvbctaH7Zmfm073IMWOYHwCaDs4l8Sfj2eqbN8bVXyRDCnz9nSy3/n2JrT56Xnc80cW3P69PmfXl92/fE/lUDr/Kfy8tY+dAIoG3x6bDM945fgf9G99ISPzvK11X6eCOb0ka+8tO4/58E+BCCwNoGwCaCs+AuziXIq/PmlGu3niUB9p/YxX+prxeqm2lL/qX3Ml/nW1uqm2lLfqX3Ml/pSIACBWgRCJoDy4i/8em7/ffeSwJvw26OZr7o6u/Hbvf3wB2FqP6ePfOWldf85D/YhAIG1CYRLAOuIf47ZhF/P7T88HdRW+2kieDr0uJnTJ+2fvp9ja06f1Gf6fo6tOX1Sn7yHAAS2JhAqAawv/rmI2Y+86SxfN4I1gjwR5H3URm3V5y+7V/7roWrfV3Jbrfnv40I9BCCwBoEwCWB98X/T8bUzfhNee8JF6O3MP08E1seE30RcfWRTNvJEoPq8tO4/58E+BCCwNoFvre2ghP31xd9GeUn4dUw3LSXkKnkiuCT8apve6MwTwaOhC/+07v8CEqogAIHVCLhPANuJv27+pmf8Ym43hH/Yvf+8e5mQ65glgvSM3/qrrfrYU0Nqr2L9ZTcvqrP+dkx1stGCf5szWwhAYCsCrhPAduKf4zbh/VF3wH7rR23+unt93r1MyFWnYsKtY2qjotGnPxonm2NL6/7HcqIdBCCwhIDbBFBH/C8Jr6756yz/i+6l591V0kSgZJAKvz0Trz7qOyURtO6/w0WBAAQ2I+AyAdQRf11mSc/4Tfh1qUfFLufkicDO+E34TcTVxy4T5YlAvvLSuv+cB/sQgMDaBF6v7WCq/Trir1HqN/9VJOQm+o8V2T+WCNQuFX/V9xVLBPpWoD7mK21vda36T1nwHgIQ2IKAqwRQT/yFWgJsIjwGvSWCMW2tjRJBX3Jp3b8xYgsBCGxFwM0loLrivxVu/EAAAhDwQ+DV8Xh8X3M4b+/vD+/u7moOAd8VCZxOP67o/XA4Hv+8qn+c1yUg/aldauqfi0tAx9Pp8VmZGgvRJcDDqfNfq7Tu/3ComwC07qx/u/HfnQFUW/+HLvZubm5qSc+jXzeXgASD0jIB/eV0/nTUm65Or7SoTfpX1vobDNXpBrsVvVedjlm5ZN+OsYXAtgS86J2bBCD8XqBsGwp4OxOQQOulx2itaF9PTaXibkJu4q4/tlNdmii0b327t4/F6lL7dowtBLYj4EnnXCUALYEnONuFBJ6e/5Gd8dAjsSqpuFudPYKrp6puu5cixxJF2sYSRVrXNaVAoAIBb/rmLgFoTbxBqhAnDbo0IdcZvZ2lS/j1A3lD4p62Fzo9opsL/iX7akuBwDYEPOqaywSg5fAIa5swadmLCbmd3YuFCbl9C7gm7mMTRWq/Zd7MfSsCXvXMbQLQwniFtlXQtOfn0lk63wLai4N9zdizjrlOAAoDz/D2FaZeZsO3AC8rwTiWE/CuX+4TgJbAO8TlYYKFjwTSbwF6ckdF3wJU0kiwn+1IL+dYO6vT5aK83SX7j8b5BwJFCaTRWtRwQWMhEoDmGwFmwXVp2JSe2rHVvn3iYE/32L6qLTnYPQLV2beHtJ31tURwyb76UiBQjoBFcDmL61gKkwA0/ShQ11mqVqza2buEXWfwKnZmbwIvEU/bqY2EXhGip4bS9nqqSAlBZ/4qaT+zfz7CvxAoQSCSToVKAFqcSHBLBFNbNq4J+5CIm+in3whM7K8ljrYIM9t1CUTTp3AJQMsXDfK6Ibcn6ybYnP3vaVVbmUtEXQqZABRQEWG38kGYN0/O/udxo5cHAlH1KGwC0KJHhe4hYP2NYczZv0adttM+1/5FgVKPQGQdCp0AtOSR4dcLWTzvhQDxX3clo/MPnwBu664/3osRsJu3OsPX5SAVu3n75rz7+G/aThXpc/1p+zG/IZSYDfjWxMe2AacQfsjR9Sd0AogOP3z0F51A6d/4sURhyeOS/aIT2NRYLvr5/qaDadxZZB0KmwAiQ2/883Jl+iba174FXBLytr4F9Il9X/0V4BwqRCCqHoVMAFFhF4q1HZu5Ju6SN/ur3muJwm4SC5O128+3gCGRHzq+4+CpPrWIuhQuAUSEXD0yQw3ARJtvAfmyjRX3se1y++wvJxBNn0IlgGhwl4dTixb0LcBW2qTMfsbB9sXFftsnPeO3M/20nfW13w66ZN8/53RGY0Y7tf0Ym7QZR+B2XDMXrcIkgEhQXaxs2EGkz/WbyNvTPWkUmKDbNwZN2NpZnZ4myttdsu8b1lwxn9vPN40Yo0sj1fOIQySAKDA9L3ScsdlZvIm4Rm5n+Sbwpf9q2C+dpSK+tL9fMv5HFkG33CeACBD9h2KUEaZn55YIJPpjftEzba/5XksS6S+G+mVTSrxL2fFLyu/IvOuX6wTgHZ7fsIs6MhNxzv5Li3Zpe1EjrMa4PeuY2wTgGVqNINq/T87+bY3XEuu17Nq42fYT8KpnLhOAV1j9y8uR5QTsOv+1s395ydtZ4lDU2BM/aRs99aOS1p1rPP67tkivbd8jUy9j8qhrr73AsXF4hGRjY7smAXvixy4DyZfVmbBbnepN2HU9X/tp4tC+7hvkdV3Vh/8tTO99la3EWX74nNVZe3Hfap3HzNBVAiAoxyzZXttItPVKiz31k9b9JN3p3isR5HVKGGnSUJdL9lXvo2wtCvLH563O2ov71uvdN1M3l4AIxr4lon7vBGqJQS2/e1/PMfPzonevjsfj+zEDXqvN2/v7w7u7u7XMY9c5gdPpx1VHeDz+eVX/P/7bU1X/P/3mhs9fxRWorX8uLgEdT6dqX0e7BHg4df5rldb9Hw51E4DWvdb6P56B6xZG5cLnr87n/3H9b26qrr6bS0B8Ha0aBw6c6ycbfpiNQzeE05vCOqw29vMO2tcffKlOTwNZ0XvV6ZiVS/bt2PZbb/HubTzbr8i2Hr3wdpMAhN8LlG1DAW9nAhJovdIbv9rX45upuJuQm7jraR/VpYlC+9a3e/tYrC61b8e23XqNc6/j2nZ11vfmibOrBCD0nuCsHwp4+EjAHtm05/V1xOpScbc6a6enfW67lyLHEkXaxhJFWtc1rVS8x7f38VVatmJuvfF1lwBE2hukYquPoSsETMh1Rm9n6RJ+XSRXRFwT97R91/Tx0dBc8C/ZV9vtSpS4jjLO7VaujCePXF0mAOH2CKtMGGCln4AJuZ3dq6UJuX0L0HP/VmftTNwVNWMShfWT/W1KtHiONt5tVnG+F6883SYAofYKbX4Y0PM6ARPyfX0LiBrHUcd9Pca2P+qZo+sEoKXyDG/7UGrB476+BYyN329+fTh8/b/T1lft5/SRr7Fl7PjH2mutnXd+7hOAAsY7xNaCet35pt8C9OSOil3+SSPBfjYivZxj7axOl4vydpfsPzop/k862iHjP/uvw+Gf/6N7fTUs6hJ9tXtsP6OPfE0pU+Yxxe7e20bg5uIPwcYEgmDejmlIm+AE9NSOfXRsxe26vu1ripYc7H6A6uzbQ9rO+loiyO3/nToWLzaDsYa/8+nh8M3/deL/zVnYP/3kcPje7xwOn/7mRwsSfom32qh88hvn7ZQ+sitfU4vmk1Kd2r+19lPXvxafMAlAgAjCWmGypV87e5ew6wxexc7sTeAl4mk7tZHQK0I+615pe91PkHTpzF8l7Wf2z0dK/Tvnwy+h/6Ob89m/ibzO8E2wv/z6ufD/YSfi3/nt84i//O/D4RdPx/v6XEooU+fL528csTnrP85y+VahEoCmTxCWDwI/Fq8J+203zGsibqKffiMwsb+WOMrOfumH/1Ii+JdfnseoM/5U+G3kSgR6pYnA+pQQfvOjLZ+/lMbL90vX/6XFdWvCJQDhIAjXDYp61k2wY579l/zwp4lAZ/+P3wSezvj71idNBLospEs96SWkvn5T6/n8XSZWcv0veyhfGzIBCANBWD4Y6lqMffa/1odfAv6D5D7AmDWyS0Nj2s5tw+fvObm11v+5l/J73ypvcjuLUaFvRyiSpzFn/5pP2k779a/9l4jDn/3qcPinL8+XcTSrofLhSaCvzvcNhtrruC4RyYd8lSgl5l1iHLVtROYQ9huALbrg39oOWwhsTKDkh19PAX3RPeWjG7qXrvVravmTQKqzG7/5U0M6pmL3BmS/dGn981dy/UuvzRh7ob8BaIKI/5hljtDGbt7qDF+Xg1Ts5q3d4FVd2k77ujGsKPh590rb62kgfTz1DUEl7Wf2z0eW/Fsq/r73u91TQL9/vtZviSD9RvDhjL97MkjX93VPQO2tjz0Kmv4dgZ3xK6nIpvWRr1Kl1PxLjWdrO9HnHzoBRIe/dbD69vfdbnipSGu0Ju4P3ftrQm7Cb5eH1NdsWfK4ZF/tlpdScWg3fk3ULRH8Y5fbdJb/TPi7R0bVPu9jiUB9cuHXY6ZqX6qUmnep8dSyE5lD2AQQGXqtQPXv10T72reAS0JuiaLOtwBxLRmPuajLvp2994n4nD6yO7eUnO/cMXjqF5VHyAQQFbangPU5lmvi/tAN2e+3APEsHZcm6n/cXc3qE/58Hef0yW0M7Zee55C/KMcjcgmXACJCjhLAPsYZ91uA+M2Nz8ebu7/qrtX/evwq6Bq/XmOLbOsJIPmaW+bOb66/aP2i8QmVAKLBjRa8PsarbwG20g9PQ9IlHhXb13v7bZ/0uv8bHehK2s762m8HXbJ/7lXqXxv9FHv6Yy89/fNPvziL9LVEkN7c1XX+9GbxJZ8m/LItH/I1p8yZ1xw/0ftE4vQ6CuxIUKMw9TlOXeZ56F56isdE3m7yplFggm7fGDQba2d1etonb5fb/zt1LF40Us1ibNEjnPqpBwm0vR4fBf20q3/6lEr4dcwe59R9ARXd+FUi0LH08VEJvyWWc8un453NqSUlP7Vvi+2nrn8tRk+hVcv9OL8E3zhO+2hlZ/Em4pqVneWbwEvYrc7ambArWuysP22jM3+VS/bPR0r/O0UEJPJ6PFM/32CibYng937rLPKp8KfP/Kd/G2CJQMnhl//zcUZ5Mvl4ZPgdn79hRpdaTFn/S/23qHOfAAi+LcLAiw8T8c+6AZlQS/R/3r0UCWOEfUySSO13ZlcsU0XgUiIwIZeop8Jvw7Ybv2kisD5LhF/2+fwZ5Xnbqes/z8v8Xq4TAME3f2Fj9jTRt7N6zcLO4scIuyJmTJJI7a9Pao4I5Ing2923AAn9tZImgv/szv71bcIuH13r13eMz18fmWn1c9Z/mof5rb81v+u6PQm+dfn6s76/s/+U8dx4tkQwJP6pL7XV5STEP6VS9/3c9V971C4TgFdYay9G2/btTD89O7c6O/sXIauzdpY4FDXXzv7zftvTjhLXUca5/Qou8+iRq7tLQB4hLVt2eo8jYE/82GUg9bI6E3arU73d1NX1fO1bQrA2um+Q1+lYal/72xbF98O2Lid54/M3Cdfkxt7W31UCIPgmx9OOOkjETfBtWumZv9X9xN48bZUI8joljDRpqOkl+6rfvngTASPA589IrLv1tP5uLgERfOsGHdZ9EfAW797G42u1yo/GC+9Xx+Pxffnpjbf49v7+8O7ubnwHWkJgRwQU/7ULn796K1Bb/1xcAjqeTtWeN+4S4OHU+a9V8N82/0MXf4r/WuVE/Ff7/D9o0W+63+iuWNxcAnqEUREEriFQi0CtywG1/Nbi7MmvF71zkwC0OF6geAoUxtIGga3FeGt/baziuFl60jlXCUD4PMEZt5y0gkAZAluJ8lZ+ylDZlxVv+uYuAWi5vUHaVwgyG88E1hbnte17Zlt7bB51zWUC0EJ5hFU7gPDfBoG1RHotu22syrJZetUztwlAuL1CWxYK9IbAMIHSYl3a3vAMaGEEPOuY6wQggJ7h2QKzhcAaBEqJdik7a8xx7za965f7BKAA8Q5x70HM/OoRWCreS/vXm3l8zxF0K0QCUChEgBk/ZJmBRwJzRXxuP48Moo0pil6FSQAKgChQowUr4/VPYKqYT23vn0CcEUbSqVAJQCEQCW6ckGWkEQiMFfWx7SLMOdoYo+lTuASggIgGOVoQM16/BIbEfei435nFH1lEXQqZABQqEWHHD3Fm4IFAn8j31XsY897HEFWPwiYABVRU6Hv/MDC/9QnkYp/vrz8CPBiByDoUOgFoASLDtwBiC4E5BEz0bTvHBn2WEYiuP+ETAMG/LIDpHZsA8V93/aLzD50AosOvG7p4hwAEShCIrENhE0Bk6CWCDhsQgIAfAlH1KGQCiArbT7gyEghAoDSBiLoULgFEhFw60LAHAQj4JBBNn0IlgGhwfYYoo4IABNYkEEmnwiSASFDXDC5sQwAC/glE0asQCSAKTP9hyQghAIGtCETQLfcJIALErQIKPxCAQCwC3vXLdQLwDi9WKDJaCECgBgHPOuY2AXiGViOI8AkBCMQl4FXPXCYAr7Dihh8jhwAEahPwqGvuEoBHSLUDB/8QgMA+CHjTN1cJwBucfYQcs4AABDwR8KRzbhKAJyiegoWxQAAC+yPgRe9eHY/H9zXxvr2/P7y7u6s5BHxDAAIQqEKgtv69rjLrzOnxdDrUyohdAjycOv+1Cv7hT/y1+fl7kOjc3NSSnke/bi4BPcKoigLnEIAABLYh4EXv3CQAYfcCZZsQwAsEINAiAU865yoBKBg8wWkxOJkzBCCwHgFv+uYuAQi9N0jrhQOWIQCBVgh41DWXCUAB4RFWK4HKPCEAgbIEvOqZ2wQg/F6hlQ0NrEEAAnsm4FnHXCcABYVneHsOWuYGAQgsJ+Bdv9wnAC2Bd4jLwwQLEIDA3ghE0K0QCUCBEQHm3gKY+UAAAvMIRNGrMAlAyxAF6ryQoRcEILAHApF0KlQCUHBEgruHYGYOEIDAeALR9ClcAtBSRIM8PnxoCQEIRCUQUZdCJgAFSETYUQObcUMAAtcJRNWjsAlAyxEV+vVQ4igEIBCJQGQdCp0AFCSR4UcKcsYKAQi8JBBdf8IngNuXa0INBCAAgU0IRNef0AkgOvxNIhQnEIDAqgQi61DYBBAZ+qrRiHEIQGBzAlH1KGQCiAp786jEIQQgsBmBiLoULgFEhLxZBOIIAhCoSiCaPoVKANHgVo1EnEMAAlUIRNKpMAkgEtQqUYdTCEDADYEoehUiAUSB6Sb6GAgEIFCdQATdcp8AIkCsHmkMAAIQcEnAu365TgDe4bmMOAYFAQi4IuBZx9wmAM/QXEUXg4EABNwT8KpnLhOAV1juo4wBQgACbgl41DV3CcAjJLcRxcAgAIFQBLzpm6sE4A1OqMhisBCAQAgCnnTOTQLwBCVEFDFICEAgLAEvevfqeDy+r0nx7f394d3dXc0h4BsCEIBAFQK19e91lVlnTo+n06FWRuwS4OHU+a9V8A9/4q/Nz9+DROfmppb0PPp1cwnoEUZVFDiHAAQgsA0BL3rnJgEIuxco24QAXiAAgRYJeNI5VwlAweAJzlBwftY10IsCAQhAYAwBb/rmLgEIojdIfQv7F90BvSgQgAAEhgh41DWXCUAgPcLKF/jPugq9KBCAAASuEfCqZ24TgGB6haax6cz/u08vvgWICAUCELhEwLOOuU4AgukVXnrmn76/FADUQQACbRLwql+2Gu4TgAbqDeJtN6Y/MYJP71VHgQAEIGAEvOmWjSvdhkgAGrAnmJfO+C/VpaB5DwEItEPAk15dox4mAWgSHqDqsc83F4iqjkdCL4ChCgKNEfCgU2ORh0oAmlRtuNfO9K8dG7sgtIMABOISqK1PU8mFSwCaYE3I1574uXZs6sLQHgIQiEWgpi7NJRUyAWiyNWDfdn716Gdf0TG1oUAAAm0RqKFHJQiHTQCa/NbQ34wgPqbNCDM0gQAEghDYWodKYgmdAARiK/i6wTvmGr/acDNYK0OBwP4JbKU/a5EMnwBu1yKT2Z1yfX9K28wNuxCAQCACW+nPWkhCJ4At4Y85+7dFmtLW+rCFAARiEthSh0oTCpsAtoQuQb928zdfFLUlCeRU2IfAfglsqUclKYZMAFvDnnNJZ06fkguLLQhAYFsCW+tSidmFSwBbQ5a/9Hd/xkJXn63HOnZstIMABNYhEO0zHyoB1IC75FLOkr7rhCdWIQCBtQnU0Km5cwqTAGpBfTOXbNdvSd8FbukKAQhUJlBLr6ZOO0QCqAWzxHX8EjamLirtIQCB+gRq6daUmbtPADUhlriEU8LGlAWlLQQg4IdATf0aQ8F1AqgJT77n3PzNoXMzOCfCPgTaIlBTx4ZIu00AtaG9GSI34XhJWxPc0hQCEHBCoLae9WF43XegZr0HWD+sCQDfEIDA7ghI1x6czcrdNwAP4u9sjRgOBCCwEwLe9M1VAvAGZycxxzQgAAFHBDzpnJsE4AmKo1hhKBCAwA4JeNG7V8fj8X1Nvm/v7w/v7u5qDgHfEIAABKoQqK1/Lm4CH0+nar+b0yXAw6nzX6vgH/7EX5ufvweJzs1NLel59OvmEtAjjKoocA4BCEBgGwJe9M5NAhB2L1C2CQG8QAACLRLwpHOuEoCCwROcFoOTOUMAAusR8KZv7hKA0HuDtF44YBkCEGiFgEddc5kAFBAeYbUSqMwTAhAoS8CrnrlNAMLvFVrZ0MAaBCCwZwKedcx1AlBQeIa356BlbhCAwHIC3vXLfQLQEniHuDxMsAABCOyNQATdCpEAFBgRYO4tgJkPBCAwj0AUvQqTALQMUaDOCxl6QQACeyAQSadCJQAFRyS4ewhm5gABCIwnEE2fwiUALUU0yOPDh5YQgEBUAhF1KWQCUIBEhB01sBk3BCBwnUBUPQqbALQcUaFfDyWOQgACkQhE1qHQCUBBEhl+pCBnrBCAwEsC0fUnfAK4fbkm1EAAAhDYhEB0/QmdAKLD3yRCcQIBCKxKILIOhU0AkaGvGo0YhwAENicQVY9CJoCosDePShxCAAKbEYioS+ESQETIm0UgjiAAgaoEoulTqAQQDW7VSMQ5BCBQhUAknQqTACJBrRJ1OIUABNwQiKJXIRJAFJhuoo+BQAAC1QlE0C33CSACxOqRxgAgAAGXBLzrl+sE4B2ey4hjUBCAgCsCnnXMbQLwDM1VdDEYCEDAPQGveuYyAXiF5T7KGCAEIOCWgEddc5cAPEJyG1EMDAIQCEXAm765SgDe4ISKLAYLAQiEIOBJ59wkAE9QQkQRg4QABMIS8KJ3r47H4/uaFN/e3x/e3d3VHAK+IQABCFQhUFv/XleZdeb0eDodamXELgEeTp3/WgX/8Cf+2vz8PUh0bm5qSc+jXzeXgB5hVEWBcwhAAALbEPCid24SgLB7gbJNCOAFAhBokYAnnXOVABQMnuC0GJzMGQIQWI+AN31zlwCE3huk9cIByxCAQCsEPOqaywSggPAIq5VAZZ4QgEBZAl71zG0CEH6v0MqGBtYgAIE9E/CsY64TgILCM7w9By1zgwAElhPwrl/uE4CWwDvE5WGCBQhAYG8EIuhWiASgwIgAc28BzHwgAIF5BKLoVZgEoGWIAnVeyNALAhDYA4FIOhUqASg4IsHdQzAzBwhAYDyBaPoULgFoKaJBHh8+tIQABKISiKhLIROAAiQi7KiBzbghAIHrBKLqUdgEoOWICv16KHEUAhCIRCCyDoVOAAqSyPAjBTljhQAEXhKIrj/hE8DtyzWhBgIQgMAmBKLrT+gEEB3+JhGKEwhAYFUCkXUobAKIDH3VaMQ4BCCwOYGoehQyAUSFvXlU4hACENiMQERdCpcAIkLeLAJxBAEIVCUQTZ9CJYBocKtGIs4hAIEqBCLpVJgEEAlqlajDKQQg4IZAFL0KkQCiwHQTfQwEAhCoTiCCbrlPABEgVo80BgABCLgk4F2/XCcA7/BcRhyDggAEXBHwrGNuE4BnaK6ii8FAAALuCXjVM5cJwCss91HGACEAAbcEPOqauwTgEZLbiGJgEIBAKALe9M1VAvAGJ1RkMVgIQCAEAU865yYBeIISIooYJAQgEJaAF717dTwe39ek+Pb+/vDu7q7mEPANAQhAoAqB2vr3usqsM6fH0+lQKyN2CfBw6vzXKviHP/HX5ufvQaJzc1NLeh79urkE9AijKgqcQwACENiGgBe9c5MAhN0LlG1CAC8QgECLBDzpnKsEoGDwBKfF4GTOEIDAegS86Zu7BCD03iCtFw5YhgAEWiHgUddcJgAFhEdYrQQq84QABMoS8KpnbhOA8HuFVjY0sAYBCOyZgGcdc50AFBSe4e05aJkbBCCwnIB3/XKfALQE3iEuDxMsQAACeyMQQbdCJAAFRgSYewtg5gMBCMwjEEWvwiQALUMUqPNChl4QgMAeCETSqVAJQMERCe4egpk5QAAC4wlE06dwCUBLEQ3y+PChJQQgEJVARF0KmQAUIBFhRw1sxg0BCFwnEFWPwiYALUdU6NdDiaMQgEAkApF1KHQCUJBEhh8pyBmrTwK14x//PuNi7KjCJ4DbsTOlHQR2RsDE17ZbT8/82rZV/1vPu6S/0AngtiQJbEEgEIFcdPP9taeS+8v3W/O/9nzXsh82AdyuRQS7EHBOoE9s++pLT6fPT199K/5Lz3MLeyETwO0WZPABAYcEhkR26PjSKQ3ZHzq+d/9L57d1/3AJ4HZrQviDgBMCY8V1bLup0xprd2y7vfqfOq+a7UMlgNuapPANgYoEporq1PZDU5tqb2r7vfkfmo+X42ESwK0XYowDAhsTmCumc/vl05trZ26/vfjP5+FxP0QCuPVIjjFBYAMCS0WU/ssWaSm/Zd7X7+0+ASD+6wcBHnwSKCU+c+3M7ZfTnGtnbj9v/vPxeNp3nQBuPZFiLBDYkEAp8bMhT7U3tb356dtOtTe1fZ9fq59qb2p78xNt6zYB3EYjyXghUIjAWuIz1u7YdlOnO9bu2HbR/E8d7xbtXSaA2y1mjg8IOCSwlvjZVIfsDx03O3O3Q/aHjs/1a/2G7A8dNzt72bpLALd7Ics8IDCRwFbi0+enr37iNAab9/npqx80OLFBn5+++onmQzV3lQBuQ6FjsBAoR2Br8cn95fvlZnbZUu4v37/cq1xt7i/fL+fJtyU3CeDWNydGB4HVCNQSH/Nr29Um2GPY/Nq2p9lq1ebXtqs5cmz41fF4fF9zfG/v7w/v7u5qDgHfEKhG4Md/e6rmG8f1Cfz0m5uq+ve6PoLD4Xg6HW4rDaRLgIdT579WwX+7/B/PPD+rFXn4hcDh4OYSUMtfwwjE9ggQ7+2tuccZu0kAgsOHwmOIMKbSBIjz0kSxN5eAqwSgSfDhmLuU9ItAgPiOsErtjNFdAhB6PiTtBGBLMyWuW1rtGHN1mQCEjg9LjABilOMIEM/jONFqWwJuE4Aw8KHZNhjwtg4B4ngdrlhdTsB1AtD0+PAsX2Qs1CMwNn6/+fXh8PX/Thun2s/pI195wf88lqX45+ux1b6LvwMYmqw+RLdDjTgOAWcExoq/hv2z/zocfvk/h8OnnxwO3/udbvub/ZOR6Kj919+c20zt83u/dTj84NvP7eO/Lv/nq7HdXogEIBwkge2CAk/LCUwRf3n7zqeHwzf/dxb1f/6Py4kgF/5PfuM8TiWCsX2ULOQrL/ivyz9fj632wyQAASEJbBUW+FlCYKr4y5fO+P/o5nwZws7uTdQlzl9+/fGMX8L/h13dd377PMov//tw+MXT8b4+Q98S8F+X/5J4W9I3VALQREkCS5abvmsTmCP+6ZguCfG//PLcIhd+66dEoFeaCKzPkPCbDdvi/2UiMJZb8Ld12GobLgEIDElgq/DAzxQCS8U/9ZUKsc7+Hy/dPJ3xp+3S92ki0GUhfXOQnTkF/x8TQQ3+c9ZsTp+QCUATJQnMWW76rEWgpPinY5QQ/2CiiNulodTO3Pf4r8t/7rqN7Rc2AWiCJIGxy0y7NQmUEP+f/er8FEp6bf/amO2GsNoMPTVkduwSkZ4C+t7vWu15i/+6/J+vxnZ7oROAMNVOAviv+4iuB/6lPq56CuiL7vFO3dDtSwQm/PYIqHzbjd++RGDCL/vXCv7r8r+2NmsdC58ABKaWCMivCv7rJAEv/M9RsOxfnZF/uzsztyeA8kSQC7/d3JVX65Mnglz4rc+l+wL4r8t/WfTM772LBKDpby3CJj6GHv/bJgFv/C0OlmzTG68m6koEelm5JOKXHh+19tpe6pMet/f4/3jjtwZ/W4ctt7tJAIK2lQjn4mMLhv9tkoBX/hYHS7eXhHhIxOf06RvnHFtz+uC/j8B29btKAMK2tgj3iY8tGf7XTQLe+VsclNiaqE6xNadPn/05tub0wX8fgfXr3f8Y3BwEQyIxx6b6jLU7tt3UcYy1O7Yd/qcRWIurRvF4jf9X3c8R/Hr8mHSNX6+xRbb1tI985QX/Zza1+OfrsdX+7r4BGDh9WG9tp8B26ocf/23znxpy+mMj/RjchyeAuj/i+qTn05nf3P3Qp+cPxSRqsq92KnraJ//bAvzX5X9eme3/7Qmx7QeyhsdSIjxV/G0u+C+TBKLytzgYs9UjnPqpAYm0vR4fBU0SQS78ui+gokdC86eGVJ8Lv+rMpt6nBf91+adrseX7XScAgVwqwnPFxxYR/8uSQHT+FgdDW53t61FM++E3SwLa6g+3JPL2HH9+Qzh9RNQSgdroG4UVE/6+bxX4r8vf1mnr7e4TgIDOFeGl4mOLif95SWAv/C0OxmwvCbEJeS78Zs9uvKaJwPoMCb/ZsC3+XyYCY7kFf1uHrbZNJADBnCrCpcTHFhL/05LA3vhbHIzd5kKsPxKT0F8raSL4z+7sX98mZGdOwf/zRLA1/zlrNqfPLp8C6gMxVlTGtuvz01c/1u7Ydn1++urH2h3brs9PX/1Yu2Pb9fnpqx9rd2y7Pj8l602Ih8Q/9am2upw0V/xTW/g/s6zFP12LNd43lQAEcOjDPXR86SIM2R86jv9lBIb4Dh1f5p3eEPBFoLkEIPx9H/K++tJL1uenrx7/ZQn0ce6rL+sdaxDwQ6DJBCD8+Yc93197iXJ/+T7+1yWQ88731/WOdQj4INBsAhB++9DbduslMb+2xf+2BIy7bbf1jjcI1Cfw6ng8vq85jLf39zXd4xsCEIBAVQLv7u6q+Z/5kFi58dacfLlZYAkCEIBAPAJNXwKKt1yMGAIQgEA5AiSAciyxBAEIQCAUARJAqOVisBCAAATKESABlGOJJQhAAAKhCJAAQi0Xg4UABCBQjgAJoBxLLEEAAhAIRYAEEGq5GCwEIACBcgRIAOVYYgkCEIBAKAIkgFDLxWAhAAEIlCNAAijHEksQgAAEQhEgAYRaLgYLAQhAoBwBEkA5lliCAAQgEIoACSDUcjFYCEAAAuUIkADKscQSBCAAgVAESAChlovBQgACEChHgARQjiWWIAABCIQiQAIItVwMFgIQgEA5AiSAciyxBAEIQCAUARJAqOVisBCAAATKESABlGOJJQhAAAKhCJAAQi0Xg4UABCBQjgAJoBxLLEEAAhAIRYAEEGq5GCwEIACBcgRIAOVYYgkCEIBAKAIkgFDLxWAhAAEIlCNAAijHEksQgAAEQhEgAYRaLgYLAQhAoBwBEkA5lliCAAQgEIoACSDUcjFYCEAAAuUIkADKscQSBCAAgVAE/h850UxIAoXfXgAAAABJRU5ErkJggg==", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.999\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.05743050575256348 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0002510547637939453 seconds.\n", + "LOG: Starting to translate shield...\n", + "Write to file shielding_files_20240924T133129_xvlqgzvq/shield.\n", + "Elapsed time is 0.011241436004638672 seconds.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.9999\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.057801008224487305 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0002875328063964844 seconds.\n", + "LOG: Starting to translate shield...\n", + "Elapsed time is 0.011219024658203125 seconds.\n", + "Write to file shielding_files_20240924T133129_9qlpdi5z/shield.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 1.0\n", + "Training with shield:\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAGACAYAAACkx7W/AAAufElEQVR4Ae2dT44kyXWno6hCCwJakCBicthAsxtccMXVrCalQ+ROnAuEDqDirg5QO0oHYJyA2uVK0AGk1Ga44orAECwS6FYLIiioAUENCjX2i8hXZWkZHv4nzN3ec/8M8PJwc7P3zD578XseHp5RL/b7/bsdBQIQgAAENkfgW5ubMROGAAQgAIEjARIAgQABCEBgowRIABtdeKYNAQhAgARADEAAAhDYKAESwEYXnmlDAAIQIAEQAxCAAAQ2SoAEsNGFZ9oQgAAESADEAAQgAIGNEiABbHThmTYEIAABEgAxAAEIQGCjBEgAG114pg0BCECABEAMQAACENgoARLARheeaUMAAhAgARADEIAABDZKgASw0YVn2hCAAARIAMQABCAAgY0SIAFsdOGZNgQgAAESADEAAQhAYKMESAAbXXimDQEIQIAEQAxAAAIQ2CgBEsBGF55pQwACECABEAMQgAAENkqABLDRhWfaEIAABEgAxAAEIACBjRIgAWx04Zk2BCAAARIAMQABCEBgowRIABtdeKYNAQhAgARADEAAAhDYKAESwEYXnmlDAAIQIAEQAxCAAAQ2SoAEsNGFZ9oQgAAEXrZG8Pr+vukQvvrqe7vb3UPPGP4unb9N23d72tnpX6cXsvmXVnHlfr3+/9/N/7ySDd0hMJ3AV9/7anrnCj1vfnmze3N3V8HSNBPNE4CG/dVX7RbhdvcvF8j9TTr3t2n7zWObv057bV2JQMKv9tpUPk2b2r/SwYSyfv8K/v3hMIFNnS6H/R7/G+a/+16dOIpqZdO3gHRNf75IeCXyP0qbxN9aStg/S5sEXWJvRa9Vp3Mm/uqjvrIhW7I5tGzLv9EdSqdWO/Nr+1p2h9oxv7Yf2q9WO/Nr+1p2h9oxv7Yf2q9Wu1Z+a42/hp3NJoDzi39OeP8xcf6ntL1Nm67mVfJEUAq/2qit+qivPA1NBNv1f349ErqZSumvPJ7J7Xuzpb/y+H3DmV6U/srjmdy+N1v6K4/fN5zpxdL+ZprG1WY3mQDOL/4PE8z8it+E/88fIdtVfJkI7IrfhN9EXN3U91wikK+ybN3/h89ZJZnax+fXH/9dXLbCv/Y8I9jbXALoDnJ9YautFH67vSMhVykTwTnhV9tXaVNflTwRmJ/TmQ//Wv1W/Z9IdK/PB1LXvOqz33f+Gt/q22e/7zz+ryMwN9/rRrd8700lgMuLLwH+adrsit+E/7NUp6v8v3g8VyaC/Ipf59RfbfPbRHkikA/5KsvW/X/gcXmdPrQb+2qo3aHt8D+OwFCuQ9uN896ffMfaW0P7zSSA4UFVCr+WWeKsJ3oe0lYmglR1vM1jwq82amsify4RqE9X2br/E5fh69XF8Wn9WHtj2z/19vxorL2x7Z97fFoz1t7Y9k+9PT8aa29s++cen9bUtvfUetyjTSSAYYt/Tnjt9o6u2nX+x2krE0Ep/GqjturzNm2yodKXCLbu/0Qp/3fYuuU9zr+eamdqv3IUU+1M7Yf/pwRqcXxqdR1Hq08Awxf/R2lFJdIqJvz57R3Vv0pbmQgeUp2Sggm/2lgpvy9QvXzIV1m27r/kcToevn70P0cAfueoUGcEVp0AxgW/RP+c8Ou+vp7QUTKwkieCc8KvtupTfl/wNtWZH7Nle6tXmzzxbMW/cXi+H7eOH/pP7ffBwunVVDtT++H/KYGpHKf2e+p93UerTQDjF1+3ckrhtds7+ikGXaHbFb0FhRKBNivWX23Vp/y+wPrLblm27r/k8fR47HqObf/U2/OjsfbGtn/u8WnNWHtj2z/19vxorL2x7Z97fFoz1t7Y9k+9bedolQngusXPn+R5SJEga7qfr33XH3Tlwm9/OWx9ZKNMBKmqs2zdfyeY3kcoradWao4y1O7QdmPHONTu0Hb4H0tgfe1XlwCmB/854bVn8vVEj87rWB7yRKCrel3xm/B39XlIbS4lgq37T3gGlL717Ts/wMXFJn32+85fND7gZJ/9vvMDXFxs0me/7/xF4wNO9tnvOz/AxaaarCoBXLf4+nL2IW2yYiJe3qrRcZkISuHv6yMf9mVzevm+bN3/exC9L7rWuau+1+DIBl1+uupHmu9t3uWnq77X4MgGXX666kea723e5aervtfghhusJgFcv/j6MrcU/l+nuldpe/G417FKngim9JGvsmzdf8nj8nG53uXx5d7Xny39lcfXe7hsofRXHl/uff3Z0l95fL2HyxZKf+Xx5d6cNQKrSAB1Fl+3cuzq3YT/s1RnV+va61gJIU8EU/rIV1m27r/k0X9s6277/h51W5hf29e13m/N/Nq+v0fdFubX9nWt91szv7bv70GLkkD4BFB38c8Jvx7P1FW+9iplIpjS52Tp+b9TbE3p89zzqWaKrSl9uvyPr6+7/vgfS2Dr/Mfy8tY+dAKoG3x6bDO/4pfgv02bnvDRVb72Oi4TwZQ+8lWWrfsveXAMAQjMTSBsAqgr/sJsopwLf3mrRsdlIlDfsX3Ml/pasbqxttR/bB/zZb61t7qxttR3bB/zpb4UCECgFYGQCaC++Au/ntt/lzYJvAm/PZr5ItXZF7/p5fs/CFP7KX3kqyxb91/y4BgCEJibQLgEMI/4l5hN+PXc/sPjSe11nCeCx1PH3ZQ+ef/89RRbU/rkPvPXU2xN6ZP75DUEILA0gVAJYH7xL0XMfuRNV/n6IlgjKBNB2Udt1FZ9fpy28tdD1b6rlLa25r+LC/UQgMAcBMIkgPnF/1Xia1f8Jrz2hIvQ25V/mQisjwm/ibj6yKZslIlA9WXZuv+SB8cQgMDcBL41t4Ma9ucXfxvlOeHXOX1pKSFXKRPBOeFX2/yLzjIRHA2d+Wfr/s8goQoCEJiNgPsEsJz468vf/IpfzO0L4R+m15+lzYRc5ywR5Ff81l9t1ceeGlJ7Fesvu2VRnfW3c6qTjS34tzmzhwAEliLgOgEsJ/4lbhPeH6UT9ls/avO3afssbSbkqlMx4dY5tVHR6PMfjZPNoWXr/odyoh0EIHANAbcJoI34nxNe3fPXVf7btOl5d5U8ESgZ5MJvz8Srj/qOSQRb959wUSAAgcUIuEwAbcRft1nyK34Tft3qUbHbOWUisCt+E34TcfWx20RlIpCvsmzdf8mDYwhAYG4CL+d2MNZ+G/HXKPWb/yoSchP9Y0XxjyUCtcvFX/VdxRKBPhWoj/nK21vdVv3nLHgNAQgsQcBVAmgn/kItATYRHoLeEsGQttZGiaAruWzdvzFiDwEILEXAzS2gtuK/FG78QAACEPBD4MV+v3/Xcjiv7+93b+7uWg4B3w0JHA4/aeh9t9vv/6qpf5y3JSD9aV1a6p+LW0D7w+H4rEyLhUgJcHdI/luVrfvf7domAK0767/d+E9XAM3W/yHF3s3NTSvpOfp1cwtIMChbJqC/nC6fjnqV6rTlRW3yv7LW32CoTl+wW9Fr1emclXP27Rx7CCxLwIveuUkAwu8FyrKhgLcTAQm0Nj1Ga0XHemoqF3cTchN3/bGd6vJEoWPrm14ei9Xl9u0cewgsR8CTzrlKAFoCT3CWCwk8Pf0jO+OhR2JVcnG3OnsEV09V3aZNkWOJIm9jiSKvS00pEGhAwJu+uUsAWhNvkBrEyQZdmpDrit6u0iX8+oG8PnHP2wudHtEtBf+cfbWlQGAZAh51zWUC0HJ4hLVMmGzZiwm5Xd2LhQm5fQq4JO5DE0Vuf8u8mftSBLzqmdsEoIXxCm2poNmen3NX6XwK2F4crGvGnnXMdQJQGHiGt64w9TIbPgV4WQnGcT0B7/rlPgFoCbxDvD5MsPCBQP4pQE/uqOhTgEoeCfazHfntHGtndbpdVLY7Z/9onH8gUJVAHq1VDVc0FiIBaL4RYFZclw2b0lM7ttq3jxzs6R47VrUlB/uOQHX26SFvZ30tEZyzr74UCNQjYBFcz+I8lsIkAE0/CtR5lmorVu3qXcKuK3gVu7I3gZeI5+3URkKvCNFTQ3l7PVWkhKArf5W8n9k/neFfCNQgEEmnQiUALU4kuDWCaVs2Lgl7n4ib6OefCEzsLyWObRFmtvMSiKZP4RKAli8a5HlDbk3WTbC5+l/Tqm5lLhF1KWQCUEBFhL2VN8K0eXL1P40bvTwQiKpHYROAFj0qdA8B628MQ67+Neq8nY659y8KlHYEIutQ6ASgJY8Mv13I4nktBIj/tisZnX/4BHDbdv3xXo2AfXmrK3zdDlKxL29fnQ6P/+btVJE/15+3H/IbQpnZgC9NfGwfcArhhxxdf0IngOjww0d/1QnU/o0fSxSWPM7ZrzqBRY2Vol8eLzqYjTuLrENhE0Bk6Bt/v1yYvon2pU8B54R8W58CusS+q/4CcE5VIhBVj0ImgKiwK8Xais1cEnfJm/1V76VEYV8SC5O1W8+ngD6R7zu/4uBpPrWIuhQuAUSE3DwyQw3ARJtPAeWyDRX3oe1K+xxfTyCaPoVKANHgXh9OW7SgTwG20iZl9jMOdiwu9ts++RW/Xenn7ayv/XbQOfv+OeczGjLase2H2KTNMAK3w5q5aBUmAUSC6mJlww4if67fRN6e7smjwATdPjFowtbO6vQ0UdnunH3fsKaK+dR+vmnEGF0eqZ5HHCIBRIHpeaHjjM2u4k3ENXK7yjeBr/1Xw37pXCvi1/b3S8b/yCLolvsEEAGi/1CMMsL86twSgUR/yC965u0130tJIv/FUL9saol3LTt+SfkdmXf9cp0AvMPzG3ZRR2YiztV/bdGubS9qhLUYt2cdc5sAPENrEUTr98nVv63xXGI9l10bN/tuAl71zGUC8Aqre3k5cz0Bu89/6epfXsp2ljgUNfbET95GT/2o5HWnGo//zi3Sc9v3yNTLmDzq2ksvcGwcHiHZ2NjPScCe+LHbQPJldSbsVqd6E3bdz9dxnjh0rO8NyrpU9f5/C9NrX2UpcZYf3mdt1l7cl1rnITN0lQAIyiFLttY2Em1tebGnfvK6n+YH6bUSQVmnhJEnDXU5Z1/1PsrSoiB/vN/arL24L73eXTN1cwuIYOxaIurXTqCVGLTyu/b1HDI/L3r3Yr/fvxsy4LnavL6/3725u5vLPHadEzgcftJ0hPv9XzX1/5O/PzT1/8tvbnj/NVyB1vrn4hbQ/nBo9nE0JcDdIflvVbbuf7drmwC07q3W/3gFrq8wGhfef23e/8f1v7lpuvpubgHxcbRpHDhwrp9s+GExDn0hnH8prNNqYz/voGP9wZfq9DSQFb1Wnc5ZOWffzi2/9xbv3saz/Ios69ELbzcJQPi9QFk2FPB2IiCB1pZ/8atjPb6Zi7sJuYm7nvZRXZ4odGx908tjsbrcvp1bdu81zr2Oa9nVmd+bJ86uEoDQe4Izfyjg4QMBe2TTntfXGavLxd3qrJ2e9rlNmyLHEkXexhJFXpeaNire49v7+BotWzW33vi6SwAi7Q1StdXH0AUCJuS6orerdAm/bpIrIi6Je94+NT0+GloK/jn7artciRLXUca53MrV8eSRq8sEINweYdUJA6x0EzAht6t7tTQht08Beu7f6qydibuiZkiisH6yv0yJFs/RxrvMKk734pWn2wQg1F6hTQ8Del4mYEK+rk8BUeM46rgvx9jyZz1zdJ0AtFSe4S0fSlvwuK5PAUPj95vf73Zf/9e49VX7KX3ka2gZOv6h9rbWzjs/9wlAAeMd4taCet755p8C9OSOit3+ySPBfjYiv51j7axOt4vKdufsH51U/ycfbZ/xX/37bvfzf03bV/2iLtFXu2P7CX3ka0wZM48xdtfeNgI3F38INiQQBPN2SEPaBCegp3bsrWMrbvf17VhTtORg3weozj495O2sryWC0v4/qGP1YjMYaviTj3e7b/47if83J2H/+KPd7vM/2e0+/sMPFiT8Em+1UfnoD077MX1kV77GFs0npzq2/9baj13/VnzCJAABIghbhcmSfu3qXcKuK3gVu7I3gZeI5+3URkKvCPk0bXl7fZ8g6dKVv0rez+yfztT6d8qbX0L/g5vT1b+JvK7wTbC/+Pqp8H8nifgnf3wa8Rf/sdt9+Xi+q8+5hDJ2vrz/hhGbsv7DLNdvFSoBaPoEYf0g8GPxkrDfpmFeEnET/fwTgYn9pcRRd/bXvvnPJYJf/PY0Rl3x58JvI1ci0JYnAutTQ/jNj/a8/3Iaz19fu/7PLc5bEy4BCAdBOG9QtLNugh3z6r/mmz9PBLr6P34SeLzi71qfPBHotpBu9eS3kLr6ja3n/XeeWM31P++hfm3IBCAMBGH9YGhrMfbV/1xvfgn497PvAYaskd0aGtJ2ahvef0/JzbX+T73UP/pWfZPLWYwKfTlCkTwNufrXfPJ2Om5/779GHP7qd7vdz7443cbRrPrK+yeBvjp9b9DXXud1i0g+5KtGqTHvGuNobSMyh7CfAGzRBf/WDthDYGECNd/8egrobXrKR1/onrvXr6mVTwKpzr74LZ8a0jkV+25A9muXrb//aq5/7bUZYi/0JwBNEPEfsswR2tiXt7rC1+0gFfvy1r7gVV3eTsf6YlhR8Ju05e31NJDenvqEoJL3M/unM9f8Wyv+Pv/T9BTQ/zjd67dEkH8ieH/Fn54M0v19fSeg9tbHHgXN/47ArviVVGTT+shXrVJr/rXGs7Sd6PMPnQCiw186WH37+24aXi7SGq2J+0N6fUnITfjt9pD6mi1LHufsq931pVYc2he/JuqWCP455TZd5T8R/vTIqNqXfSwRqE8p/HrMVO1rlVrzrjWeVnYicwibACJDbxWo/v2aaF/6FHBOyC1RtPkUIK4147EUddm3q/cuEZ/SR3anlprznToGT/2i8giZAKLC9hSwPsdySdwf0pD9fgoQz9pxaaL+v9PdrC7hL9dxSp/SRt9x7Xn2+YtyPiKXcAkgIuQoAexjnHE/BYjf1Pg8frn7u3Sv/vfDV0H3+LUNLbKtJ4Dka2qZOr+p/qL1i8YnVAKIBjda8PoYrz4F2Eo/PA5Jt3hU7Fiv7bd98vv+r3Qilbyd9bXfDjpn/9Sr1r82+jH29MdeevrnZ1+eRPpSIsi/3NV9/vzL4nM+TfhlWz7ka0qZMq8pfqL3icTpZRTYkaBGYepznLrN85A2PcVjIm9f8uZRYIJunxg0G2tndXrap2xX2v8HdaxeNFLNYmjRI5z6qQcJtG3HR0E/TvWP71IJv87Z45z6XkBFX/wqEehc/viohN8Sy6nl4/lkc2zJyY/tu8X2Y9e/FaPH0Grlfphfgm8Yp3W0sqt4E3HNyq7yTeAl7FZn7UzYFS121Z+30ZW/yjn7pzO1/x0jAhJ5PZ6pn28w0bZE8Gd/dBL5XPjzZ/7zvw2wRKDk8Nv//DCjMpl8ONP/ivdfP6NzLcas/7n+S9S5TwAE3xJh4MWHifinaUAm1BL936RNkTBE2Ickidx+MjtjGSsC5xKBCblEPRd+G7Z98ZsnAutzjfDLPu8/ozxtP3b9p3mZ3st1AiD4pi9szJ4m+nZVr1nYVfwQYVfEDEkSuf35SU0RgTIRfDt9CpDQXyp5Ivi3dPWvTxN2++hSv65zvP+6yIyrn7L+4zxMb/2t6V3n7UnwzcvXn/X1Xf3njKfGsyWCPvHPfamtbich/jmVtq+nrv/co3aZALzCmnsxtm3frvTzq3Ors6t/EbI6a2eJQ1Fz6eq/7Lc87ShxHWWcy6/gdR49cnV3C8gjpOuWnd7DCNgTP3YbSL2szoTd6lRvX+rqfr6OLSFYG31vUNbpXG5fx8sWxffDsi5HeeP9NwrX6Mbe1t9VAiD4RsfTijpIxE3wbVr5lb/V/dRePO6VCMo6JYw8aajpOfuqX754EwEjwPvPSMy797T+bm4BEXzzBh3WfRHwFu/exuNrteqPxgvvF/v9/l396Q23+Pr+fvfm7m54B1pCYEUEFP+tC++/divQWv9c3ALaHw7NnjdOCXB3SP5bFfxvm/8uxZ/iv1U5EP/N3v8PWvSb9BvdDYubW0BHGA1B4BoCrQi0uh3Qym8rzp78etE7NwlAi+MFiqdAYSzbILC0GC/tbxurOGyWnnTOVQIQPk9whi0nrSBQh8BSoryUnzpU1mXFm765SwBabm+Q1hWCzMYzgbnFeW77ntm2HptHXXOZALRQHmG1DiD8b4PAXCI9l91trMp1s/SqZ24TgHB7hXZdKNAbAv0Eaot1bXv9M6CFEfCsY64TgAB6hmcLzB4CcxCoJdq17Mwxx7Xb9K5f7hOAAsQ7xLUHMfNrR+Ba8b62f7uZx/ccQbdCJACFQgSY8UOWGXgkMFXEp/bzyCDamKLoVZgEoACIAjVasDJe/wTGivnY9v4JxBlhJJ0KlQAUApHgxglZRhqBwFBRH9ouwpyjjTGaPoVLAAqIaJCjBTHj9UugT9z7zvudWfyRRdSlkAlAoRIRdvwQZwYeCHSJfFe9hzGvfQxR9ShsAlBARYW+9jcD85ufQCn25fH8I8CDEYisQ6ETgBYgMnwLIPYQmELARN/2U2zQ5zoC0fUnfAIg+K8LYHrHJkD8t12/6PxDJ4Do8NuGLt4hAIEaBCLrUNgEEBl6jaDDBgQg4IdAVD0KmQCiwvYTrowEAhCoTSCiLoVLABEh1w407EEAAj4JRNOnUAkgGlyfIcqoIACBOQlE0qkwCSAS1DmDC9sQgIB/AlH0KkQCiALTf1gyQghAYCkCEXTLfQKIAHGpgMIPBCAQi4B3/XKdALzDixWKjBYCEGhBwLOOuU0AnqG1CCJ8QgACcQl41TOXCcArrLjhx8ghAIHWBDzqmrsE4BFS68DBPwQgsA4C3vTNVQLwBmcdIccsIAABTwQ86ZybBOAJiqdgYSwQgMD6CHjRuxf7/f5dS7yv7+93b+7uWg4B3xCAAASaEGitfy+bzLpwuj8cdq0yYkqAu0Py36rgH/7E3zbffw8SnZubVtJz9OvmFtARRlMUOIcABCCwDAEveucmAQi7FyjLhABeIACBLRLwpHOuEoCCwROcLQYnc4YABOYj4E3f3CUAofcGab5wwDIEILAVAh51zWUCUEB4hLWVQGWeEIBAXQJe9cxtAhB+r9DqhgbWIACBNRPwrGOuE4CCwjO8NQctc4MABK4n4F2/3CcALYF3iNeHCRYgAIG1EYigWyESgAIjAsy1BTDzgQAEphGIoldhEoCWIQrUaSFDLwhAYA0EIulUqASg4IgEdw3BzBwgAIHhBKLpU7gEoKWIBnl4+NASAhCISiCiLoVMAAqQiLCjBjbjhgAELhOIqkdhE4CWIyr0y6HEWQhAIBKByDoUOgEoSCLDjxTkjBUCEHhOILr+hE8At8/XhBoIQAACixCIrj+hE0B0+ItEKE4gAIFZCUTWobAJIDL0WaMR4xCAwOIEoupRyAQQFfbiUYlDCEBgMQIRdSlcAogIebEIxBEEINCUQDR9CpUAosFtGok4hwAEmhCIpFNhEkAkqE2iDqcQgIAbAlH0KkQCiALTTfQxEAhAoDmBCLrlPgFEgNg80hgABCDgkoB3/XKdALzDcxlxDAoCEHBFwLOOuU0AnqG5ii4GAwEIuCfgVc9cJgCvsNxHGQOEAATcEvCoa+4SgEdIbiOKgUEAAqEIeNM3VwnAG5xQkcVgIQCBEAQ86ZybBOAJSogoYpAQgEBYAl707sV+v3/XkuLr+/vdm7u7lkPANwQgAIEmBFrr38smsy6c7g+HXauMmBLg7pD8tyr4hz/xt83334NE5+amlfQc/bq5BXSE0RQFziEAAQgsQ8CL3rlJAMLuBcoyIYAXCEBgiwQ86ZyrBKBg8ARni8HJnCEAgfkIeNM3dwlA6L1Bmi8csAwBCGyFgEddc5kAFBAeYW0lUJknBCBQl4BXPXObAITfK7S6oYE1CEBgzQQ865jrBKCg8AxvzUHL3CAAgesJeNcv9wlAS+Ad4vVhggUIQGBtBCLoVogEoMCIAHNtAcx8IACBaQSi6FWYBKBliAJ1WsjQCwIQWAOBSDoVKgEoOCLBXUMwMwcIQGA4gWj6FC4BaCmiQR4ePrSEAASiEoioSyETgAIkIuyogc24IQCBywSi6lHYBKDliAr9cihxFgIQiEQgsg6FTgAKksjwIwU5Y4UABJ4TiK4/4RPA7fM1oQYCEIDAIgSi60/oBBAd/iIRihMIQGBWApF1KGwCiAx91mjEOAQgsDiBqHoUMgFEhb14VOIQAhBYjEBEXQqXACJCXiwCcQQBCDQlEE2fQiWAaHCbRiLOIQCBJgQi6VSYBBAJapOowykEIOCGQBS9CpEAosB0E30MBAIQaE4ggm65TwARIDaPNAYAAQi4JOBdv1wnAO/wXEYcg4IABFwR8KxjbhOAZ2iuoovBQAAC7gl41TOXCcArLPdRxgAhAAG3BDzqmrsE4BGS24hiYBCAQCgC3vTNVQLwBidUZDFYCEAgBAFPOucmAXiCEiKKGCQEIBCWgBe9e7Hf79+1pPj6/n735u6u5RDwDQEIQKAJgdb697LJrAun+8Nh1yojpgS4OyT/rQr+4U/8bfP99yDRublpJT1Hv25uAR1hNEWBcwhAAALLEPCid24SgLB7gbJMCOAFAhDYIgFPOucqASgYPMHZYnAyZwhAYD4C3vTNXQIQem+Q5gsHLEMAAlsh4FHXXCYABYRHWFsJVOYJAQjUJeBVz9wmAOH3Cq1uaGANAhBYMwHPOuY6ASgoPMNbc9AyNwhA4HoC3vXLfQLQEniHeH2YYAECEFgbgQi6FSIBKDAiwFxbADMfCEBgGoEoehUmAWgZokCdFjL0ggAE1kAgkk6FSgAKjkhw1xDMzAECEBhOIJo+hUsAWopokIeHDy0hAIGoBCLqUsgEoACJCDtqYDNuCEDgMoGoehQ2AWg5okK/HEqchQAEIhGIrEOhE4CCJDL8SEHOWCEAgecEoutP+ARw+3xNqIEABCCwCIHo+hM6AUSHv0iE4gQCEJiVQGQdCpsAIkOfNRoxDgEILE4gqh6FTABRYS8elTiEAAQWIxBRl8IlgIiQF4tAHEEAAk0JRNOnUAkgGtymkYhzCECgCYFIOhUmAUSC2iTqcAoBCLghEEWvQiSAKDDdRB8DgQAEmhOIoFvuE0AEiM0jjQFAAAIuCXjXL9cJwDs8lxHHoCAAAVcEPOuY2wTgGZqr6GIwEICAewJe9cxlAvAKy32UMUAIQMAtAY+65i4BeITkNqIYGAQgEIqAN31zlQC8wQkVWQwWAhAIQcCTzrlJAJ6ghIgiBgkBCIQl4EXvXuz3+3ctKb6+v9+9ubtrOQR8QwACEGhCoLX+vWwy68Lp/nDYtcqIKQHuDsl/q4J/+BN/23z/PUh0bm5aSc/Rr5tbQEcYTVHgHAIQgMAyBLzonZsEIOxeoCwTAniBAAS2SMCTzrlKAAoGT3C2GJzMGQIQmI+AN31zlwCE3huk+cIByxCAwFYIeNQ1lwlAAeER1lYClXlCAAJ1CXjVM7cJQPi9QqsbGliDAATWTMCzjrlOAAoKz/DWHLTMDQIQuJ6Ad/1ynwC0BN4hXh8mWIAABNZGIIJuhUgACowIMNcWwMwHAhCYRiCKXoVJAFqGKFCnhQy9IACBNRCIpFOhEoCCIxLcNQQzc4AABIYTiKZP4RKAliIa5OHhQ0sIQCAqgYi6FDIBKEAiwo4a2IwbAhC4TCCqHoVNAFqOqNAvhxJnIQCBSAQi61DoBKAgiQw/UpAzVghA4DmB6PoTPgHcPl8TaiAAAQgsQiC6/oROANHhLxKhOIEABGYlEFmHwiaAyNBnjUaMQwACixOIqkchE0BU2ItHJQ4hAIHFCETUpXAJICLkxSIQRxCAQFMC0fQpVAKIBrdpJOIcAhBoQiCSToVJAJGgNok6nEIAAm4IRNGrEAkgCkw30cdAIACB5gQi6Jb7BBABYvNIYwAQgIBLAt71y3UC8A7PZcQxKAhAwBUBzzrmNgF4huYquhgMBCDgnoBXPXOZALzCch9lDBACEHBLwKOuuUsAHiG5jSgGBgEIhCLgTd9cJQBvcEJFFoOFAARCEPCkc24SgCcoIaKIQUIAAmEJeNG7F/v9/l1Liq/v73dv7u5aDgHfEIAABJoQaK1/L5vMunC6Pxx2rTJiSoC7Q/LfquAf/sTfNt9/DxKdm5tW0nP06+YW0BFGUxQ4hwAEILAMAS965yYBCLsXKMuEAF4gAIEtEvCkc64SgILBE5wtBidzhgAE5iPgTd/cJQCh9wZpvnDAMgQgsBUCHnXNZQJQQHiEtZVAZZ4Q2CqBn6aJ384wea965jYBaA28QpshPjAJAQg4IPCXaQz/lLZ/TNtfVxqPZx1znQDE3zO8SvGBGQhAwBmBP0/j+Zu06Y+kfpy227RNKd71y30CEHTvEKcEBn0gAIEYBF6lYdqnAn1CGFoi6FaIBCDgEWAODQzaQQAC8QjoU4G+I3ibNn0q+DRtXSWKXoVJAAIdBWpXUFAPAQjEJ/DdNAV9Kvh12s59aRxJp0IlAIVOJLgaLwUCEFgvAfvSWJ8K9KXx/w021XAJQHxJAsGijOFCYOUE9KlAXxr/r7R9lraP0xahhEwAAksSiBBejBEC2yPwSZryDx637zifftgEIK4kAefRxfAgsGEC+hTwedrsU8FHDlmETgDiSRJwGFUMaTECreN/6/4XW+iZHIVPALczgcEsBLwTMPG1/dLjNb+235r/vvl+nRr8Km0/S9vbtH2TNm8ldAK49UaT8UBgIQKl6JbHcw+j9Fcer93/pfl9kU7+/HH78lJDB+fCJoBbB/AYAgRaEOgS26762mPs8tNVvzb/5+ajq/v8al9X/xFKyARwG4EsY4TADAT6RLbv/LVD6rPfdz66/3L8v00VutrXbZ4v0+bxNk8aVmcJlwBuO6fCCQism8BQcR3abiytoXaHtovm38YrkddtHon+L9IW5Wo/DfVZCZUAbp8NnwoIbIPAWFEd276P4lh7Y9t796/xSegl+J6/1NU4x5QwCeB2zKxoC4EVEZgqplP7leim2pnaz5v//Etd3fJZUwmRAG7XRJy5QGAEgWtFlP4jYGdN9fPPr9L2z2l7m7bIt3nS8DuL+wSA+HeuHSdWTuBa8TY8U+1M7Wd+bT/VztR+5tf2Y+z8Xeqkn33+i7T9HzOw4r3rBHC7YvBMDQKXCIwRrUt27NxYe2Pbm5+u/Vh7Y9t3+bX6ofZ+mDqo7dD2Zj/q3m0CuI1KlHFD4EoCc4nPULtD242d5lC7Q9tF8z92vEu0d5kAbpeYOT4g4JDAXOJnU+2z33fe7Ezd99nvOz/Vr/Xrs9933uysZe8uAdyuhSzzgMBIAkuJT5efrvqR0+ht3uWnq77X4MgGXX666keaD9XcVQK4DYWOwUKgHoGlxaf0Vx7Xm9l5S6W/8vh8r3q1pb/yuJ4n35bcJIBb35wYHQRmI9BKfMyv7WebYIdh82v7jmazVZtf28/myLHhF/v9/l3L8b2+v9+9ubtrOQR8Q6AZgZ/8/aGZbxy3J/DLb26a6t/L9gh2u/3hsLttNJCUAHeH5L9Vwf92+R+vPD9tFXn4hcBu5+YW0JY/hhGI2yNAvG9vzT3O2E0CEBzeFB5DhDHVJkCc1yaKvakEXCUATYI3x9SlpF8EAsR3hFXazhjdJQCh502ynQDc0kyJ6y2tdoy5ukwAQsebJUYAMcphBIjnYZxotSwBtwlAGHjTLBsMeJuHAHE8D1esXk/AdQLQ9HjzXL/IWGhHYGj8fvP79Jvz/zVunGo/pY98lQX/01jW4l+ux1LHLv4OoG+yehPd9jXiPAScERgq/hr2r/59t/vtf+52H3+0233+J2n/h92Tkeio/deP/wP52D5/9ke73fe//dQ+/tvyf7oayx2FSADCQRJYLijwdD2BMeIvb598vNt9898nUf/5v55PBKXwf/QHp3EqEQzto2QhX2XBf1v+5XosdRwmAQgISWCpsMDPNQTGir986Yr/Bzen2xB2dW+iLnH+4usPV/wS/u+kuk/++DTKL/5jt/vy8XxXn75PCfhvy/+aeLumb6gEoImSBK5ZbvrOTWCK+OdjOifEv3j8n8hL4bd+SgTa8kRgffqE32zYHv/PE4GxXIK/rcNS+3AJQGBIAkuFB37GELhW/HNfuRDr6v946+bxij9vl7/OE4FuC+mTg+xMKfj/kAha8J+yZlP6hEwAmihJYMpy02cuAjXFPx+jhPj7I0Xcbg3ldqa+xn9b/lPXbWi/sAlAEyQJDF1m2s1JoIb4/+p3p6dQ8nv7l8ZsXwirTd9TQ2bHbhHpKaDP/9RqT3v8t+X/dDWWOwqdAISpdRLAf9tHdD3wr/V21VNAb9PjnfpCtysRmPDbI6DybV/8diUCE37Zv1Tw35b/pbWZ61z4BCAwrURAflXw3yYJeOF/ioLr/tUV+bfTlbk9AVQmglL47ctdebU+ZSIohd/6nPteAP9t+V8XPdN7ryIBaPpLi7CJj6HH/7JJwBt/i4Nr9vkXrybqSgTarJwT8XOPj1p77c/1yc/ba/x/+OK3BX9bhyX3q0kAgraUCJfiYwuG/2WSgFf+FgfX7s8JcZ+IT+nTNc4ptqb0wX8XgeXqV5UAhG1uEe4SH1sy/M+bBLzztziosTdRHWNrSp8u+1NsTemD/y4C89e7/zG4KQj6RGKKTfUZandou7HjGGp3aDv8jyMwF1eN4niP/3fp5wh+P3xMusevbWiRbT3tI19lwf+JTSv+5Xosdby6TwAGTm/WWzuosB/75sf/tvmPDTn9sZF+DO79E0Dpj7g+6nh3ll/uvu/T8YdiEjXZVzsVPe1T/m0B/tvyP63M8v92hNjyA5nDYy0RHiv+Nhf810kCUflbHAzZ6xFO/dSARNq246OgWSIohV/fC6jokdDyqSHVl8KvOrOp13nBf1v++Vos+XrVCUAgrxXhqeJji4j/65JAdP4WB317Xe3rUUz74TdLAtrrD7ck8vYcf/mFcP6IqCUCtdEnCism/F2fKvDflr+t09L71ScAAZ0qwteKjy0m/qclgbXwtzgYsj8nxCbkpfCbPfviNU8E1qdP+M2G7fH/PBEYyyX42zostd9EAhDMsSJcS3xsIfE/Lgmsjb/FwdB9KcT6IzEJ/aWSJ4J/S1f/+jQhO1MK/p8mgqX5T1mzKX1W+RRQF4ihojK0XZefrvqhdoe26/LTVT/U7tB2XX666ofaHdquy09X/VC7Q9t1+alZb0LcJ/65T7XV7aSp4p/bwv+JZSv++VrM8XpTCUAA+97cfeevXYQ++33n8X8dgT6+feev805vCPgisLkEIPxdb/Ku+tpL1uWnqx7/dQl0ce6qr+sdaxDwQ2CTCUD4yzd7eTz3EpX+ymP8z0ug5F0ez+sd6xDwQWCzCUD47U1v+6WXxPzaHv/LEjDutl/WO94g0J7Ai/1+/67lMF7f37d0j28IQAACTQm8ubtr5n/iQ2L1xtty8vVmgSUIQAAC8Qhs+hZQvOVixBCAAATqESAB1GOJJQhAAAKhCJAAQi0Xg4UABCBQjwAJoB5LLEEAAhAIRYAEEGq5GCwEIACBegRIAPVYYgkCEIBAKAIkgFDLxWAhAAEI1CNAAqjHEksQgAAEQhEgAYRaLgYLAQhAoB4BEkA9lliCAAQgEIoACSDUcjFYCEAAAvUIkADqscQSBCAAgVAESAChlovBQgACEKhHgARQjyWWIAABCIQiQAIItVwMFgIQgEA9AiSAeiyxBAEIQCAUARJAqOVisBCAAATqESAB1GOJJQhAAAKhCJAAQi0Xg4UABCBQjwAJoB5LLEEAAhAIRYAEEGq5GCwEIACBegRIAPVYYgkCEIBAKAIkgFDLxWAhAAEI1CNAAqjHEksQgAAEQhEgAYRaLgYLAQhAoB4BEkA9lliCAAQgEIoACSDUcjFYCEAAAvUIkADqscQSBCAAgVAESAChlovBQgACEKhHgARQjyWWIAABCIQi8P8BDmNi5tZLD9IAAAAASUVORK5CYII=", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Using cpu device\n", + "Wrapping the env with a `Monitor` wrapper\n", + "Wrapping the env in a DummyVecEnv.\n", + "Wrapping the env in a VecTransposeImage.\n", + "-----------------------------------\n", + "| info/ | |\n", + "| no_shield_action | 0 |\n", + "| sum_opened_door | 0 |\n", + "| sum_picked_up | 0 |\n", + "| sum_ran_into_lava | 0 |\n", + "| sum_reached_goal | 2 |\n", + "| rollout/ | |\n", + "| ep_len_mean | 186 |\n", + "| ep_rew_mean | 0.2 |\n", + "| time/ | |\n", + "| fps | 194 |\n", + "| iterations | 1 |\n", + "| time_elapsed | 10 |\n", + "| total_timesteps | 2048 |\n", + "-----------------------------------\n" + ] + } + ], "source": [ "GRID_TO_PRISM_BINARY=os.getenv(\"M2P_BINARY\")\n", + "import gymnasium as gym\n", + "\n", "\n", "def mask_fn(env: gym.Env):\n", " return env.create_action_mask()\n", @@ -61,8 +282,7 @@ "\n", "def main():\n", " # Edit 'environments/Minigrid/minigrid/envs/GSW_Playground.py' to alter the environment\n", - " env = \"MiniGrid-GSW-v0\"#\n", - " env = \"MiniGrid-LavaGapS6-v0\"\n", + " env = \"MiniGrid-GSW-v0\"\n", "\n", " # TODO Change the safety specification\n", " formula = \"Pmax=? [G !AgentIsOnLava]\"\n", diff --git a/notebooks/HelloLavaGap.ipynb b/notebooks/HelloLavaGap.ipynb index 4cb1a2d..29f9350 100644 --- a/notebooks/HelloLavaGap.ipynb +++ b/notebooks/HelloLavaGap.ipynb @@ -9,13 +9,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "pygame 2.6.0 (SDL 2.28.4, Python 3.10.12)\n", + "Hello from the pygame community. https://www.pygame.org/contribute.html\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-24 13:10:36.778354: E external/local_xla/xla/stream_executor/cuda/cuda_fft.cc:485] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-24 13:10:36.792058: E external/local_xla/xla/stream_executor/cuda/cuda_dnn.cc:8454] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-24 13:10:36.796147: E external/local_xla/xla/stream_executor/cuda/cuda_blas.cc:1452] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-24 13:10:36.806045: I tensorflow/core/platform/cpu_feature_guard.cc:210] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-24 13:10:37.640233: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n", + "error: XDG_RUNTIME_DIR not set in the environment.\n" + ] + } + ], "source": [ "from sb3_contrib import MaskablePPO\n", "from sb3_contrib.common.wrappers import ActionMasker\n", @@ -49,7 +71,82 @@ "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "data": { + "image/jpeg": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAMAAAADACAIAAADdvvtQAAAKN0lEQVR4Ae2d8VUctxaH5Zz8/dIApICkATYNOA3gBoILMG7ADRgKgDQQGrAbCDSQFBBo4LmB937jS5TJzI4kVtxhV/p05pi1dHVX+uk7d3SXRfPq7OwsUFBgVwW+2bUj/VBgUACA4KBKAQCqko/OAAQDVQoAUJV8dAYgGKhSAICq5KMzAMFAlQIAVCUfnQEIBqoUAKAq+egMQDBQpQAAVclHZwCCgSoFAKhKPjoDEAxUKQBAVfLRGYBgoEoBAKqSj84ABANVCgBQlXx0BiAYqFIAgKrkozMAwUCVAgBUJR+dAQgGqhQAoCr56AxAMFClAABVyUdnAIKBKgUAqEo+On976BJcHV27TuHtA+cnpQReA6Dra8c1vvqQmt6ztLmOXwd8eft/FhGWnHALW1KG+iIFAKhIJoyWFACgJWWoL1IAgIpkwmhJgVUB+i2Ek6WBUH+YCqwK0GkItyH8HsK7wxSLUc8VWBUge/tNCBch/C+Ej88XkO7/G27v57Ob1sim0EwOKSUKvABAcVjnfwckRabK8v5z+OnXsLle5EPcqFU2hWZySClRYI0PEtPjUEDSpfBxE8JlCA9p64XWd5tw/yXcPQx8nByFi9dhc/xoKnTOPw9NKkf/Gf7NmsmDHFJKFHh5gGyUWm4FJF3CSDe4u5Kxj2yEy+3ZEH6MFcPofBMubv9BR0yoRkWVl1/r52YT+EbvwMvtCuwLQHF0up3pUkBSNBJMTwpIE4zeqP/XqBPRsXcRRsaWYWRmoBOX4Ekv9g4gG70CkuKQXcLgSQEpYiQ+To4fo85clIjR3f1ww4q3vLklNQkF9hSgOGK7ryn5F0aKSeVFQJQwMdzU2O6Uyzqz/GZWs48VWmJFo7++Zv5H2wZ4/ikcXwybm0SxRCyRqVlfOZErOaSUKLDvEahkDmbz8CW8/zzsjic7HrXGzbVZzjM1q7fNtfxQyhU4DICyt7CLn8Ppj48p2BijMTq2TZY040zNEv4xOuymy+mR5b4DpDtX4SY67p2ND2Gky8qEiUnCH/WamMV6XiQU2FOAdkvjNc8JRktMFJolhKPJFNg7gBRvFHWelLfP19L4mNdPagrNJr3471iBfcnCFHLEjT7+ebMTPcNe51PI/gZUex1d6SInciWHlBIFXj4CaUHtQ+eS4S7ZKPm6+TNc3oV3J0MWdvzd1HC8Td6aqamD0FGTnKgoF1N8omQVeEmAyjfI2Wl8fD38olRrb9cYozE62hKp6Jep40xNNWN09F/rPphScgq8AEDZnDw35i3tCjnK5BV7LIQYRqc/hNuHIZaojHfTMbc3jDZHQ/SyMibvsYofSQVWBehZNsiJ6UwwMizG6FjfSQpmZqCTEDbRtCpA2iCvUMYY6dPFpa1MxOjmj+3bphWG2sBbrArQmnoZRtl3FEZLhGX7YiAF9iWNZzEOVAEAOtCF25dhA9C+rMSBjgOADnTh9mXYr3S8yL6MhXEcoAJrZGHe59/gPwGed4DgFpYQn6a8AgCU1wiLhAIAlBCHprwCAJTXCIuEAgCUEIemvAIAlNcIi4QCAJQQh6a8AgCU1wiLhAIAlBCHprwCAJTXCIuEAgCUEIemvAIAlNcIi4QCAJQQh6a8AgCU1wiLhAIAlBCHprwCAJTXCIuEAgCUEIemvAIAlNcIi4QCAJQQh6a8AgCU1wiLhAIAlBCHprwCAJTXCIuEAgCUEIemvAIAlNcIi4QCAJQQh6a8AgCU1wiLhAIAlBCHprwCAJTXCIuEAgCUEIemvAIAlNcIi4QCB38+0NXRdWJ69U1vHzg/KaXiwZ8PdPUhNb1naTv084eeRYQlJ9zClpShvkgBACqSCaMlBQBoSRnqixQAoCKZMFpSAICWlKG+SAEAKpIJoyUFGgFIT4wreUilbArN5JBSokAjAOnRcT/9GjbXi3yIG7XKptBMDiklCqzxQWLJOCpt9KzC+y/DsyzFx+QJc0LHniSvt9BjMVWyZvIgh5QSBRoBKD49zlgxjM43wzOahYuK0BETqlGxp6hGjMZmE/gGa0pSgUYAsjlOMHqjR2z+Gx0zEzEGjR6wKozMDHRMnKf+2xRANvmIkfg4OX6MOnNdIkZ390Nw4rmFc4lKahoEKGJUwoQwCmx3SkhZsGkkCzv/FI4vhs1NolgilsjUrK+cyJUcUkoUaCcC6fnw7z8Pz42Pm+U4/3Eipsp5pmaWtrm258zHvrxIK9BIBLr4Ofz+y5DAG0YxGsWPf7RZVqtszMxSsBiNLOqIP3U3MzmklCjQTgSKe2fL5EWDLiuTDOv2bPi8MSb8UaaJWaznRUKBdgCySU4wWmKi0CwhHE2mQGsAjTHKrrFhlDXDIKFAI3ug4Zb0KWR/A6q9jq50kRO5kkNKiQKNRCAlXzd/hsu78O5kyMKOv5vOfZxhbc3U1EHoqElOVLSbVnyiZBVoJAJ9fD2go6Ll//7yX9FokmFpVzTJ1NTLoo46Gj1yJYeUEgUaiUAKOUq8FXsshIgDXac/hNuHAReV8W46pmBK02S/ORqil5WlAPbYzI+ZAo0AZPOaYGRYjNExs0kKZmagM2OjqKIpgOYYnf64uJWJGN38sX3bVKRf90YNAjTGKLu+wojNclalhEEjm+jEDGlyVQCAXOVt3zkAtb/GrjMEIFd523e+xib67OzMU0jf84E0ctfxX19dhytPed56Og9hDYBcz9e5+uArkLy7jt+XHndtArcwf42bfgcAanp5/ScHQP4aN/0OANT08vpPDoD8NW76HQCo6eX1n1wjAOkbYSVfQpVNoZkcUkoUaAQgfTVMfy4Y/85rPnNxw/lAc1nqa9b4ILF+lFkP+i4i5wNlVfIwaASg+O2w+OeC+iKineGiP0JV4XwgD3rksxGATJ0JRpwP5ATN2G1TAE0w0hfmOR9ovNgerxsEKGJU8l1VzgeqpKqRLIzzgSo52Ll7OxHI/lxQty1lZENcGRXl8La5tjrOBxppU/uykQjE+UC1IOzav50INEnB9NGiLiuTvy3kfKBdadnSrx2AbHITjCboRAEKzaI9L5YUaA0gm6fxsTTnWF9oFu15MVegkT3QsE3mfKD58vrXNBKBlHxxPpA/LVveoZEI9JHzgbYs7hpVjUSgycEunA+0Bjtf36MRgEyvCUacD7QCRk0BNMeI84G8GWoQoDFGWfmUyeui7KxAI5vonedPx0oFAKhSwN67A1DvBFTOH4AqBey9+yvXw296V7eD+ROBOlhkzykCkKe6HfgGoA4W2XOKAOSpbge+AaiDRfacIgB5qtuBbwDqYJE9pwhAnup24BuAOlhkzykCkKe6HfgGoA4W2XOKAOSpbge+AaiDRfacIgB5qtuBbwDqYJE9pwhAnup24BuAOlhkzykCkKe6HfgGoA4W2XOKAOSpbge+AaiDRfacIgB5qtuBbwDqYJE9pwhAnup24BuAOlhkzykCkKe6HfgGoA4W2XOKAOSpbge+AaiDRfacIgB5qtuBbwDqYJE9p/h/BVTY14Za+YMAAAAASUVORK5CYII=", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdin", + "output_type": "stream", + "text": [ + " \n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.003572702407836914 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0002765655517578125 seconds.\n", + "LOG: Starting to translate shield...\n", + "Write to file shielding_files_20240924T131106_4c2n0rfg/shield.\n", + "Elapsed time is 0.0036995410919189453 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.001905202865600586 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0001571178436279297 seconds.\n", + "LOG: Starting to translate shield...\n", + "Elapsed time is 0.0028374195098876953 seconds.\n", + "Symbolic Description of the Model:\n", + "Write to file shielding_files_20240924T131106_mkizoz41/shield.\n", + "mdp\n", + "\n", + "formula AgentCannotMoveEastWall = (colAgent=4&rowAgent=1) | (colAgent=4&rowAgent=2) | (colAgent=4&rowAgent=3) | (colAgent=4&rowAgent=4);\n", + "formula AgentCannotMoveNorthWall = (colAgent=3&rowAgent=1) | (colAgent=4&rowAgent=1) | (colAgent=1&rowAgent=1) | (colAgent=2&rowAgent=1);\n", + "formula AgentCannotMoveSouthWall = (colAgent=1&rowAgent=4) | (colAgent=3&rowAgent=4) | (colAgent=4&rowAgent=4) | (colAgent=2&rowAgent=4);\n", + "formula AgentCannotMoveWestWall = (colAgent=1&rowAgent=2) | (colAgent=1&rowAgent=3) | (colAgent=1&rowAgent=4) | (colAgent=1&rowAgent=1);\n", + "formula AgentIsOnSlippery = false;\n", + "formula AgentIsOnLava = (colAgent=2&rowAgent=1) | (colAgent=2&rowAgent=3) | (colAgent=2&rowAgent=4);\n", + "formula AgentIsOnGoal = (colAgent=4&rowAgent=4);\n", + "init\n", + " true\n", + "endinit\n", + "\n", + "\n", + "module Agent\n", + " colAgent : [1..4];\n", + " rowAgent : [1..4];\n", + " viewAgent : [0..3];\n", + "\n", + " [Agent_turn_right] !AgentIsOnLava &true -> 1.000000: (viewAgent'=mod(viewAgent+1,4));\n", + " [Agent_turn_left] !AgentIsOnLava &viewAgent>0 -> 1.000000: (viewAgent'=viewAgent-1);\n", + " [Agent_turn_left] !AgentIsOnLava &viewAgent=0 -> 1.000000: (viewAgent'=3);\n", + " [Agent_move_North] viewAgent=3 & !AgentIsOnLava & !AgentIsOnGoal & !AgentCannotMoveNorthWall -> 1.000000: (rowAgent'=rowAgent-1);\n", + " [Agent_move_East] viewAgent=0 & !AgentIsOnLava & !AgentIsOnGoal & !AgentCannotMoveEastWall -> 1.000000: (colAgent'=colAgent+1);\n", + " [Agent_move_South] viewAgent=1 & !AgentIsOnLava & !AgentIsOnGoal & !AgentCannotMoveSouthWall -> 1.000000: (rowAgent'=rowAgent+1);\n", + " [Agent_move_West] viewAgent=2 & !AgentIsOnLava & !AgentIsOnGoal & !AgentCannotMoveWestWall -> 1.000000: (colAgent'=colAgent-1);\n", + "endmodule\n", + "\n", + "\n" + ] + } + ], "source": [ "GRID_TO_PRISM_BINARY=os.getenv(\"M2P_BINARY\")\n", "\n", @@ -80,18 +177,25 @@ " \n", " env.reset()\n", " Image.fromarray(env.render()).show()\n", - " \n", + " input(\"\") \n", + " \n", " shield_handlers = dict()\n", " if shield_needed(shielding):\n", " for value in [0.0, 1.0]:\n", " shield_handler = MiniGridShieldHandler(GRID_TO_PRISM_BINARY, \"grid.txt\", \"grid.prism\", formula, shield_value=value, shield_comparison=shield_comparison, nocleanup=True, prism_file=None)\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handler, create_shield_at_reset=False)\n", - " create_shield_overlay_image(image_env, shield_handler.create_shield())\n", - " print(f\"The shield for shield_value = {value}\")\n", - "\n", " shield_handlers[value] = shield_handler\n", "\n", + " print(\"Symbolic Description of the Model:\")\n", + " shield_handlers[1.0].print_symbolic_model()\n", + " input(\"\")\n", "\n", + " if shield_needed(shielding):\n", + " for value in [1.0]:\n", + " create_shield_overlay_image(image_env, shield_handlers[value].create_shield())\n", + " print(f\"The shield for shield_value = {value}\")\n", + " input(\"\")\n", + " \n", " if shielding == ShieldingConfig.Training:\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handler, create_shield_at_reset=False)\n", " env = ActionMasker(env, mask_fn)\n", @@ -111,7 +215,6 @@ "\n", "\n", "if __name__ == '__main__':\n", - " print(\"Starting the training\")\n", " main()" ] }, diff --git a/notebooks/SlipperyCliff.ipynb b/notebooks/SlipperyCliff.ipynb index 4ba9b6a..79ca84d 100644 --- a/notebooks/SlipperyCliff.ipynb +++ b/notebooks/SlipperyCliff.ipynb @@ -9,13 +9,35 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "pygame 2.6.0 (SDL 2.28.4, Python 3.10.12)\n", + "Hello from the pygame community. https://www.pygame.org/contribute.html\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2024-09-24 12:42:32.571249: E external/local_xla/xla/stream_executor/cuda/cuda_fft.cc:485] Unable to register cuFFT factory: Attempting to register factory for plugin cuFFT when one has already been registered\n", + "2024-09-24 12:42:32.588338: E external/local_xla/xla/stream_executor/cuda/cuda_dnn.cc:8454] Unable to register cuDNN factory: Attempting to register factory for plugin cuDNN when one has already been registered\n", + "2024-09-24 12:42:32.593115: E external/local_xla/xla/stream_executor/cuda/cuda_blas.cc:1452] Unable to register cuBLAS factory: Attempting to register factory for plugin cuBLAS when one has already been registered\n", + "2024-09-24 12:42:32.603726: I tensorflow/core/platform/cpu_feature_guard.cc:210] This TensorFlow binary is optimized to use available CPU instructions in performance-critical operations.\n", + "To enable the following instructions: AVX2 FMA, in other operations, rebuild TensorFlow with the appropriate compiler flags.\n", + "2024-09-24 12:42:33.541399: W tensorflow/compiler/tf2tensorrt/utils/py_utils.cc:38] TF-TRT Warning: Could not find TensorRT\n", + "error: XDG_RUNTIME_DIR not set in the environment.\n" + ] + } + ], "source": [ "from sb3_contrib import MaskablePPO\n", "from sb3_contrib.common.wrappers import ActionMasker\n", @@ -43,13 +65,367 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 3, "metadata": { "vscode": { "languageId": "plaintext" } }, - "outputs": [], + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Starting the training\n" + ] + }, + { + "data": { + "image/jpeg": "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", + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.08019781112670898 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0008318424224853516 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 445\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 446\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 447\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 448\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 449\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 450\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 451\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 452\n", + "Elapsed time is 0.022951364517211914 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.0903787612915039 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0008656978607177734 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 54\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 55\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 56\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 57\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 58\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 59\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 60\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 61\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 62\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 315\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 316\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 317\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 318\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 319\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 320\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 321\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 322\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 323\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 444\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 445\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 446\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 447\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 448\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 449\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 450\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 451\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 452\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 453\n", + "Elapsed time is 0.0263826847076416 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.11173772811889648 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0016129016876220703 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 54\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 55\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 56\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 57\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 58\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 59\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 60\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 61\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 62\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 315\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 316\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 317\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 318\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 319\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 320\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 321\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 322\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 323\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 444\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 445\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 446\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 447\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 448\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 449\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 450\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 451\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 452\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 453\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 458\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 459\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 461\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 462\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 463\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 464\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 465\n", + "Elapsed time is 0.03487586975097656 seconds.\n", + "\n", + "\n", + "Computing new shield\n", + "LOG: Starting with explicit model creation...\n", + "Elapsed time is 0.1351919174194336 seconds.\n", + "LOG: Starting with model checking...\n", + "Elapsed time is 0.0011432170867919922 seconds.\n", + "LOG: Starting to translate shield...\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 54\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 55\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 56\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 57\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 58\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 59\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 60\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 61\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 62\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 67\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 68\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 69\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 70\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 71\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 72\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 73\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 74\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 80\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 81\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 82\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 83\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 84\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 85\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 86\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 93\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 94\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 95\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 96\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for statElapsed time is 0.03844499588012695 seconds.\n", + "e with index 97\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 98\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 106\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 107\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 108\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 109\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 110\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 315\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 316\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 317\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 318\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 319\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 320\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 321\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 322\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 323\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 329\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 330\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 331\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 332\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 333\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 334\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 335\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 336\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 343\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 344\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 345\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 346\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 347\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 348\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 349\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 357\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 358\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 359\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 360\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 361\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 362\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 371\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 372\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 373\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 374\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 375\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 444\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 445\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 446\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 447\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 448\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 449\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 450\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 451\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 452\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 453\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 457\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 458\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 459\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 460\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 461\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 462\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 463\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 464\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 465\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 466\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 470\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 471\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 472\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 473\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 474\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 475\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 476\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 477\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 478\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 479\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 483\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 484\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 485\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 486\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 487\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 488\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 489\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 490\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 491\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 492\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 496\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 497\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 498\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 499\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 500\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 501\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 502\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 503\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 504\n", + " WARN (PreShield.cpp:50): No shielding action possible with absolute comparison for state with index 505\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.9\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.95\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 0.99\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "The shield for shield_value = 1.0\n", + "Training with shield:\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Using cpu device\n", + "Wrapping the env with a `Monitor` wrapper\n", + "Wrapping the env in a DummyVecEnv.\n", + "Wrapping the env in a VecTransposeImage.\n" + ] + }, + { + "ename": "AssertionError", + "evalue": "", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mAssertionError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[3], line 62\u001b[0m\n\u001b[1;32m 60\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;18m__name__\u001b[39m \u001b[38;5;241m==\u001b[39m \u001b[38;5;124m'\u001b[39m\u001b[38;5;124m__main__\u001b[39m\u001b[38;5;124m'\u001b[39m:\n\u001b[1;32m 61\u001b[0m \u001b[38;5;28mprint\u001b[39m(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mStarting the training\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[0;32m---> 62\u001b[0m \u001b[43mmain\u001b[49m\u001b[43m(\u001b[49m\u001b[43m)\u001b[49m\n", + "Cell \u001b[0;32mIn[3], line 55\u001b[0m, in \u001b[0;36mmain\u001b[0;34m()\u001b[0m\n\u001b[1;32m 52\u001b[0m model\u001b[38;5;241m.\u001b[39mset_logger(logger)\n\u001b[1;32m 53\u001b[0m steps \u001b[38;5;241m=\u001b[39m \u001b[38;5;241m20_000\u001b[39m\n\u001b[0;32m---> 55\u001b[0m \u001b[38;5;28;01massert\u001b[39;00m(\u001b[38;5;28;01mFalse\u001b[39;00m)\n\u001b[1;32m 56\u001b[0m model\u001b[38;5;241m.\u001b[39mlearn(steps,callback\u001b[38;5;241m=\u001b[39m[InfoCallback()])\n", + "\u001b[0;31mAssertionError\u001b[0m: " + ] + } + ], "source": [ "GRID_TO_PRISM_BINARY=os.getenv(\"M2P_BINARY\")\n", "\n", @@ -84,11 +460,13 @@ " for value in [0.9, 0.95, 0.99, 1.0]:\n", " shield_handler = MiniGridShieldHandler(GRID_TO_PRISM_BINARY, \"grid.txt\", \"grid.prism\", formula, shield_value=value, shield_comparison=shield_comparison, nocleanup=False, prism_file=None)\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handler, create_shield_at_reset=False)\n", - " create_shield_overlay_image(image_env, shield_handler.create_shield())\n", - " print(f\"The shield for shield_value = {value}\")\n", "\n", - " shield_handlers[value] = shield_handler\n", "\n", + " shield_handlers[value] = shield_handler\n", + " if shield_needed(shielding):\n", + " for value in [0.9, 0.95, 0.99, 1.0]: \n", + " create_shield_overlay_image(image_env, shield_handlers[value].create_shield())\n", + " print(f\"The shield for shield_value = {value}\")\n", "\n", " if shielding == ShieldingConfig.Training:\n", " env = MiniGridSbShieldingWrapper(env, shield_handler=shield_handlers[value_for_training], create_shield_at_reset=False)\n", @@ -103,7 +481,7 @@ " model.set_logger(logger)\n", " steps = 20_000\n", "\n", - " #assert(False)\n", + " assert(False)\n", " model.learn(steps,callback=[InfoCallback()])\n", "\n", "\n", diff --git a/notebooks/environments/Minigrid/minigrid/envs/GSW_Playground.py b/notebooks/environments/Minigrid/minigrid/envs/GSW_Playground.py index 36f223e..612e569 100644 --- a/notebooks/environments/Minigrid/minigrid/envs/GSW_Playground.py +++ b/notebooks/environments/Minigrid/minigrid/envs/GSW_Playground.py @@ -98,7 +98,14 @@ class Playground(MiniGridEnv): self.put_obj(Goal(), width - 2, 1) # TODO: Add walls, pools of lava, etc. - + self.grid.horz_wall(2, 1, 3, slippery_north) + self.grid.vert_wall(5, 2, 2, slippery_east) + l = 4 + self.grid.horz_wall(self.size - l - 1, self.size - 2, l, Lava) + + self.put_obj(Lava(), 7, 3) + + if self.randomize_start: self.place_agent() else: